You are here

UMC L130 MM/RF FDK FOR CADENCE 6.1 (OPEN ACCESS DB)

UMC L130 Mixed-Mode/RF FDK (Open Access DB)

DK version: A02_PB (for IC 6.1 Open Access DB)      DK release date (IMEC): 09/09/2014

Step-by-step procedure to set up the user environment:

  1. create a working directory for your project
  2. copy the design kit configuration script to the working directory:  

    cp /nfsd/ichome/lib/umc13_OA/config/umc13_OA_dir.sh
  3. execute the downloaded configuration script:  

    ./umc13_OA_dir.sh

The working directory is now fully set up. Start Cadence DFII with the following commands:

  1. source eda-umc13-user.env or source eda-umc13-user.sh 
  2. virtuoso &
  3. when creating a new library, attach it to the technology library umc13mmrf
  4. the design kit documentation can be found in:   /nfsd/ichome/lib/umc13_OA/doc

TIPS & TRICKS

Layout
  • Never overlap RF device p-cells; in general, never overlap SYMBOL layers belonging to diffferent p-cells.
  • Pins should be created as labels in the same (metal) layer as the shape they are going to be attached to, and they should be fully enclosed by that shape. Use layer MX_CAD - TEXT (where X is the metal layer level) [edited by Andrea Gerosa on October 1st 2020]
Physical verification with Calibre

Calibre → Run nmDRC

  • Load Runset File dialog box appears. Select: drcCalibreRunsetList
  • To modify DRC checks: Setup → Select Checks ...
  • Run DRC

Calibre → Run nmLVS

  • Load Runset File dialog box appears. Select: lvsCalibreRunsetList
  • In Virtuoso layout window menu bar, click on Calibre → Setup → Netlist Export...
    • Include File:  /nfsd/ichome/lib/umc13_OA/CDL_include_file.cir
    • Click OK
  • Go back to Calibre Interactive window ans click Run LVS

Calibre → Run PEX

  • Load Runset File dialog box appears. Select: xrcCalibreRunsetList
  • To select the type of parasitics to extract:  Outputs → Extraction Type
  • Run PEX
  • Calibre View Setup dialog box appears (if not, go to layout window and select Calibre → Setup → Calibre View ...). Set the following fields:
    • Cellmap File:   /nfsd/ichome/lib/umc13_OA/RuleDecks/Calibre/calview.cellmap
    • Calibre View Type:  schematic
    • Create Terminals:   Create all terminals
    • Reset property: m=1
    • Device Placement:   Arrayed
  • The view "calibre" is created and added to the view list of the cell under verification.

 

Post-layout simulation
  • Create a "config" view of the testbench to be simulated.
  • Setup the views to be simulated with Hierarchy Editor as usual:
    • New Configuration dialog box → Use Template → spectre
    • Cell Bindings: set "calibre" view (View To Use column) for cells to be simulated with parasitics
    • Top Cell → Open (this opens the schematich view of the testbench)
  • From the schematic view window, launch ADE L (Analog Design Environment) and execute the simulation