%0 Journal Article %J IEEE Journal of Solid-State Circuits %D 2024 %T Analysis and Design of Coupled PLL-Based CMOS Quadrature VCOs %A Iesurum, Agata %A Manente, Davide %A Padovan, Fabio %A Bassi, Matteo %A Bevilacqua, A. %K CMOS %K coupled phase-locked loop (CPLL) %K Couplings %K Harmonic analysis %K Mixers %K phase locked loops %K phase noise %K quadrature voltage-controlled oscillator (QVCO) %K radio frequency integrated circuits (RFIC) %K Steady-state %K voltage-controlled oscillators %B IEEE Journal of Solid-State Circuits %V 59 %P 294-306 %G eng %R 10.1109/JSSC.2023.3280360 %0 Journal Article %J IEEE Journal of Solid-State Circuits %D 2023 %T A 72-fs-Total-Integrated-Jitter Two-Core Fractional-N Digital PLL With Digital Period Averaging Calibration on Frequency Quadrupler and True-in-Phase Combiner %A Buccoleri, Francesco %A Dartizio, Simone M. %A Tesolin, Francesco %A Avallone, Luca %A Santiccioli, Alessio %A Iesurum, Agata %A Steffan, Giovanni %A Cherniak, Dmytro %A Bertulessi, Luca %A Bevilacqua, A. %A Samori, Carlo %A Lacaita, Andrea L. %A Levantino, Salvatore %B IEEE Journal of Solid-State Circuits %V 58 %P 634-646 %G eng %R 10.1109/JSSC.2022.3228899 %0 Journal Article %J IEEE Transactions on Microwave Theory and Techniques %D 2023 %T Analysis and Design of Reactive Passive Mixers for High-Order Modulation IoT Cartesian Transmitters %A Tomasin, Lorenzo %A Vogrig, Daniele %A Neviani, Andrea %A Bevilacqua, A. %K Baseband %K Cartesian transmitter (TX) %K fully depleted silicon on insulator (FD-SOI) CMOS %K Impedance %K Internet of Things %K Internet of Things (IoT) %K low-power radio frequency integrated circuit (RFIC) design %K Mixers %K Modulation %K reactive passive mixer %K Resistance %K Voltage %B IEEE Transactions on Microwave Theory and Techniques %P 1-12 %G eng %R 10.1109/TMTT.2023.3341393 %0 Journal Article %J IEEE Transactions on Circuits and Systems I: Regular Papers %D 2023 %T A Compensation and Calibration Technique for Lumped Hybrid Couplers in Integrated Image-Reject Architectures %A Manente, Davide %A Quadrelli, Fabio %A Padovan, Fabio %A Bassi, Matteo %A Mazzanti, Andrea %A Bevilacqua, A. %B IEEE Transactions on Circuits and Systems I: Regular Papers %V 70 %P 607-617 %G eng %R 10.1109/TCSI.2022.3221161 %0 Conference Paper %B 2023 18th Conference on Ph.D Research in Microelectronics and Electronics (PRIME) %D 2023 %T On the Design Challenges of Class-C Oscillators in Ultra-Scaled CMOS Technologies %A Zugno, N. %A Brandonisio, Francesco %A Niederfriniger, Thomas %A Bevilacqua, A. %B 2023 18th Conference on Ph.D Research in Microelectronics and Electronics (PRIME) %G eng %R 10.1109/PRIME58259.2023.10161908 %0 Conference Paper %B 2023 IEEE Radio Frequency Integrated Circuits Symposium (RFIC) %D 2023 %T A Reactive Passive Mixer for 16-QAM Cartesian IoT Transmitters in 22 nm FD-SOI CMOS %A Tomasin, Lorenzo %A Vogrig, Daniele %A Neviani, Andrea %A Bevilacqua, A. %B 2023 IEEE Radio Frequency Integrated Circuits Symposium (RFIC) %G eng %R 10.1109/RFIC54547.2023.10186126 %0 Conference Paper %B 2023 18th Conference on Ph.D Research in Microelectronics and Electronics (PRIME) %D 2023 %T A Time-Variant Analysis of Passive Resistive Mixers Using Thevenin Theorem %A Tomasin, Lorenzo %A Bevilacqua, A. %B 2023 18th Conference on Ph.D Research in Microelectronics and Electronics (PRIME) %G eng %R 10.1109/PRIME58259.2023.10161757 %0 Journal Article %J IEEE Journal of Solid-State Circuits %D 2022 %T A 12-GHz Reconfigurable Multicore CMOS DCO, With a Time-Variant Analysis of the Impact of Reconfiguration Switches on Phase Noise %A Tomasin, Lorenzo %A Andreani, Pietro %A Boi, Giovanni %A Padovan, Fabio %A Bevilacqua, A. %B IEEE Journal of Solid-State Circuits %V 57 %P 2802-2811 %G eng %R 10.1109/JSSC.2022.3167109 %0 Conference Paper %B ESSCIRC 2022- IEEE 48th European Solid State Circuits Conference (ESSCIRC) %D 2022 %T A 24 GHz Quadrature VCO Based on Coupled PLL with -134 dBc/Hz Phase Noise at 10 MHz Offset in 28 nm CMOS %A Iesurum, Agata %A Manente, Davide %A Padovan, Fabio %A Bassi, Matteo %A Bevilacqua, A. %B ESSCIRC 2022- IEEE 48th European Solid State Circuits Conference (ESSCIRC) %G eng %R 10.1109/ESSCIRC55480.2022.9911510 %0 Conference Paper %B 2022 IEEE International Solid- State Circuits Conference (ISSCC) %D 2022 %T A 68.6fs_rms-Total-integrated-Jitter and 1.5μs-LocKing-Time Fractional-N Bang-Bang PLL Based on Type-II Gear Shifting and Adaptive Frequency Switching %A Dartizio, Simone Mattia %A Buccoleri, Francesco %A Tesolin, Francesco %A Avallone, Luca %A Santiccioli, Alessio %A Iesurum, Agata %A Steffan, Giovanni %A Cherniak, Dmytro %A Bertulessi, Luca %A Bevilacqua, A. %A Samori, Carlo %A Lacaita, Andrea Leonardo %A Levantino, Salvatore %B 2022 IEEE International Solid- State Circuits Conference (ISSCC) %G eng %R 10.1109/ISSCC42614.2022.9731683 %0 Conference Paper %B 2022 IEEE Custom Integrated Circuits Conference (CICC) %D 2022 %T A 9GHz 72fs-Total-lntegrated-Jitter Fractional-N Digital PLL with Calibrated Frequency Quadrupler %A Buccoleri, Francesco %A Dartizio, Simone M. %A Tesolin, Francesco %A Avallone, Luca %A Santiccioli, Alessio %A Lesurum, Agata %A Steffan, Giovanni %A Bevilacqua, A. %A Bertulessi, Luca %A Cherniak, Dmytro %A Samori, Carlo %A Lacaita, Andrea L. %A Levantino, Salvatore %B 2022 IEEE Custom Integrated Circuits Conference (CICC) %G eng %R 10.1109/CICC53496.2022.9772796 %0 Conference Paper %B 2022 17th Conference on Ph.D Research in Microelectronics and Electronics (PRIME) %D 2022 %T Analysis and Design of a Fully-Integrated Pulsed LiDAR Driver in 100V-GaN IC Technology %A Bettini, Andrea %A Cosnier, Thibault %A Magnani, Alessandro %A Syshchyk, Olga %A Borga, Matteo %A Decoutere, Stefaan %A Neviani, Andrea %B 2022 17th Conference on Ph.D Research in Microelectronics and Electronics (PRIME) %G eng %R 10.1109/PRIME55000.2022.9816827 %0 Journal Article %J IEEE Journal of Solid-State Circuits %D 2022 %T A Broadband 22-31-GHz Bidirectional Image-Reject Up/Down Converter Module in 28-nm CMOS for 5G Communications %A Quadrelli, Fabio %A Manente, Davide %A Seebacher, David %A Padovan, Fabio %A Bassi, Matteo %A Mazzanti, Andrea %A Bevilacqua, A. %B IEEE Journal of Solid-State Circuits %V 57 %P 1968-1981 %G eng %R 10.1109/JSSC.2022.3161846 %0 Journal Article %J IEEE Transactions on Electron Devices %D 2022 %T Compact Modeling of Nonideal Trapping/Detrapping Processes in GaN Power Devices %A Modolo, N. %A Santi, C. De %A Baratella, G. %A Bettini, A. %A Borga, M. %A Posthuma, N. %A Bakeroot, B. %A You, S. %A Decoutere, S. %A Bevilacqua, A. %A Neviani, A. %A MENEGHESSO, G. %A Zanoni, E. %A Meneghini, M. %B IEEE Transactions on Electron Devices %P 1-6 %G eng %R 10.1109/TED.2022.3184622 %0 Journal Article %J IEEE Journal of Solid-State Circuits %D 2022 %T A Fractional-N Bang-Bang PLL Based on Type-II Gear Shifting and Adaptive Frequency Switching Achieving 68.6 fs-rms-Total-Integrated-Jitter and 1.56 μ s-Locking-Time %A Dartizio, Simone M. %A Buccoleri, Francesco %A Tesolin, Francesco %A Avallone, Luca %A Santiccioli, Alessio %A Iesurum, Agata %A Steffan, Giovanni %A Cherniak, Dmytro %A Bertulessi, Luca %A Bevilacqua, A. %A Samori, Carlo %A Lacaita, Andrea L. %A Levantino, Salvatore %B IEEE Journal of Solid-State Circuits %P 1-14 %G eng %R 10.1109/JSSC.2022.3206955 %0 Journal Article %J IEEE Transactions on Microwave Theory and Techniques %D 2022 %T Galvanic-Coupled Trans-Dural Data Transfer for High-Bandwidth Intracortical Neural Sensing %A Shi, Chengyao %A Song, Minyoung %A Gao, Zhenyu %A Bevilacqua, A. %A Dolmans, Guido %A Liu, Yao-Hong %B IEEE Transactions on Microwave Theory and Techniques %V 70 %P 4579-4589 %G eng %R 10.1109/TMTT.2022.3198100 %0 Conference Paper %B 2022 IEEE International Reliability Physics Symposium (IRPS) %D 2022 %T GaN RF HEMT Reliability: Impact of Device Processing on I-V Curve Stability and Current Collapse %A Chiocchetta, F. %A De Santi, C. %A Rampazzo, F. %A Mukherjee, K. %A Grünenpütt, Jan %A Sommer, Daniel %A Blanck, Hervé %A Lambert, Benoit %A Gerosa, A. %A MENEGHESSO, G. %A Zanoni, E. %A Meneghini, M. %B 2022 IEEE International Reliability Physics Symposium (IRPS) %G eng %R 10.1109/IRPS48227.2022.9764510 %0 Conference Paper %B 2021 IEEE Radio Frequency Integrated Circuits Symposium (RFIC) %D 2021 %T A 10.7–14.1 GHz Reconfigurable Octacore DCO with −126 dBc/Hz Phase Noise at 1 MHz offset in 28 nm CMOS %A Tomasin, Lorenzo %A Boi, Giovanni %A Padovan, Fabio %A Bevilacqua, A. %B 2021 IEEE Radio Frequency Integrated Circuits Symposium (RFIC) %G eng %R 10.1109/RFIC51843.2021.9490439 %0 Journal Article %J IEEE Microwave and Wireless Components Letters %D 2021 %T A 20-GHz Class-C VCO With 80-GHz Fourth-Harmonic Output in 28-nm CMOS %A Franceschin, Alessandro %A Quadrelli, Fabio %A Padovan, Fabio %A Bassi, Matteo %A Mazzanti, Andrea %A Bevilacqua, A. %B IEEE Microwave and Wireless Components Letters %V 31 %P 1154-1157 %G eng %R 10.1109/LMWC.2021.3104143 %0 Conference Paper %B ESSCIRC 2021 - IEEE 47th European Solid State Circuits Conference (ESSCIRC) %D 2021 %T A 22–31 GHz Bidirectional 5G Transceiver Front-End in 28 nm CMOS %A Manente, D. %A Quadrelli, F. %A Padovan, F. %A Bassi, M. %A Mazzanti, A. %A Bevilacqua, A. %B ESSCIRC 2021 - IEEE 47th European Solid State Circuits Conference (ESSCIRC) %G eng %R 10.1109/ESSCIRC53450.2021.9567832 %0 Journal Article %J IEEE Transactions on Circuits and Systems II: Express Briefs %D 2021 %T Doubly-Tuned Transformer Networks: A Tutorial %A Bevilacqua, A. %A A. Mazzanti %B IEEE Transactions on Circuits and Systems II: Express Briefs %V 68 %P 550-555 %G eng %R 10.1109/TCSII.2020.3046021 %0 Conference Paper %B SMACD / PRIME 2021; International Conference on SMACD and 16th Conference on PRIME %D 2021 %T A Fully Integrated 28 GHz Class-J Doherty Power Amplifier in 130 nm BiCMOS %A Veni, Simone %A Caruso, Michele %A Seebacher, David %A Neviani, Andrea %A Bevilacqua, A. %B SMACD / PRIME 2021; International Conference on SMACD and 16th Conference on PRIME %G eng %0 Journal Article %J IEEE Open Journal of the Solid-State Circuits Society %D 2021 %T Harmonic Oscillators in CMOS—A Tutorial Overview %A Andreani, Pietro %A Bevilacqua, A. %B IEEE Open Journal of the Solid-State Circuits Society %V 1 %P 2-17 %G eng %R 10.1109/OJSSCS.2021.3109854 %0 Journal Article %J IEEE Solid-State Circuits Letters %D 2021 %T A Multichannel D-Band Radar Receiver With Optimized LO Distribution %A Bilato, Andrea %A Issakov, Vadim %A Mazzanti, Andrea %A Bevilacqua, A. %B IEEE Solid-State Circuits Letters %V 4 %P 141-144 %G eng %R 10.1109/LSSC.2021.3099069 %0 Conference Paper %B 2020 IEEE Wireless Power Transfer Conference (WPTC) %D 2020 %T A 13.56 MHz Reconfigurable Step-Up Switched Capacitor Converter for Wireless Power Transfer System in Implantable Medical Devices %A Marin, Gianluca %A Kim, Jisung %A Seo, Jong-Mo %A Neviani, Andrea %B 2020 IEEE Wireless Power Transfer Conference (WPTC) %G eng %R 10.1109/WPTC48563.2020.9295573 %0 Journal Article %J IEEE Journal of Solid-State Circuits %D 2020 %T A 19.5-GHz 28-nm Class-C CMOS VCO, With a Reasonably Rigorous Result on 1/f Noise Upconversion Caused by Short-Channel Effects %A A. Franceschin %A P. Andreani %A F. Padovan %A M. Bassi %A Bevilacqua, A. %B IEEE Journal of Solid-State Circuits %V 55 %P 1842-1853 %G eng %0 Journal Article %J IEEE Solid-State Circuits Letters %D 2020 %T A 28-GHz Stacked Power Amplifier with 20.7-dBm Output P1dB in 28-nm Bulk CMOS %A D. Manente %A F. Padovan %A D. Seebacher %A M. Bassi %A Bevilacqua, A. %B IEEE Solid-State Circuits Letters %V 3 %P 170-173 %G eng %0 Journal Article %J IEEE Journal of Solid-State Circuits %D 2020 %T Analysis and Design of a 17-GHz All-npn Push-Pull Class-C VCO %A S. Veni %A P. Andreani %A M. Caruso %A M. Tiebout %A Bevilacqua, A. %B IEEE Journal of Solid-State Circuits %V 55 %P 2345-2355 %G eng %0 Journal Article %J IEEE Solid-State Circuits Magazine %D 2020 %T Fundamentals of Integrated Transformers: From Principles to Applications %A Bevilacqua, A. %B IEEE Solid-State Circuits Magazine %V 12 %P 86-100 %G eng %R 10.1109/MSSC.2020.3021844 %0 Journal Article %J IEEE Transactions on Power Electronics %D 2020 %T A Novel Integrated Step-Up Hybrid Converter With Wide Conversion Ratio %A S. Marconi %A G. Spiazzi %A Bevilacqua, A. %A M. Galvano %K capacitors %K charge pump circuits %K Charge pumps %K dc–dc converters %K hybrid converters %K inductors %K integrated dc–dc %K LED driver %K Light emitting diodes %K Standards %K Switches %K Topology %B IEEE Transactions on Power Electronics %V 35 %P 2764-2775 %8 March %G eng %R 10.1109/TPEL.2019.2931875 %0 Conference Paper %B 2020 IEEE International Symposium on Circuits and Systems (ISCAS) %D 2020 %T Optimized Driver Design for Integrated Reconfigurable Switched Capacitor Converters %A G. Marin %A K. Cherniak %A V. Subotskaya %A E. Bodano %A C. Sandner %A Bevilacqua, A. %B 2020 IEEE International Symposium on Circuits and Systems (ISCAS) %G eng %R 10.1109/ISCAS45731.2020.9181196 %0 Journal Article %J IEEE Solid-State Circuits Letters %D 2020 %T A Reconfigurable Switched Capacitor DC–DC Converter With 1.9–6.3-V Input Voltage Range and 85% Peak Efficiency in 28-nm CMOS %A G. Marin %A K. Cherniak %A V. Subotskaya %A E. Bodano %A C. Sandner %A Bevilacqua, A. %B IEEE Solid-State Circuits Letters %V 3 %P 106-109 %G eng %0 Conference Paper %B 2019 IEEE BiCMOS and Compound semiconductor Integrated Circuits and Technology Symposium (BCICTS) %D 2019 %T A 114-126 GHz Frequency Quintupler with >36 dBc Harmonic Rejection in 0.13 μm SiGe BiCMOS %A A. Bilato %A V. Issakov %A Bevilacqua, A. %K D band %K frequency multiplier %K radar %K SiGe %B 2019 IEEE BiCMOS and Compound semiconductor Integrated Circuits and Technology Symposium (BCICTS) %8 Nov %G eng %R 10.1109/BCICTS45179.2019.8972769 %0 Journal Article %J IEEE Solid-State Circuits Letters %D 2019 %T A 130-nm CMOS Dual Input-Polarity DC–DC Converter for Low-Power Applications %A A. Gatti %A G. Spiazzi %A A. Gerosa %A A. Neviani %A Bevilacqua, A. %K capacitors %K CMOS dual input-polarity DC-DC converter %K CMOS integrated circuits %K DC-DC power convertors %K dc–dc converters %K dual polarity %K inductors %K Internet-of-Things (IoT) %K Logic gates %K low power %K low-power applications %K off-chip components %K power 6.0 mW %K power capacitors %K Power generation %K power inductor %K power inductors %K standard boost converter %K Standards %K transistors %K voltage 1.2 V %K voltage 60.0 mV %K Voltage control %B IEEE Solid-State Circuits Letters %V 2 %P 211-214 %8 Sep. %G eng %R 10.1109/LSSC.2019.2932850 %0 Conference Paper %B 2019 IEEE BiCMOS and Compound semiconductor Integrated Circuits and Technology Symposium (BCICTS) %D 2019 %T A 17 GHz All-npn Push-Pull Class-C VCO %A S. Veni %A M. Caruso %A M. Tiebout %A Bevilacqua, A. %K class-C %K phase noise %K push-pull %K Voltage-controlled oscillator %B 2019 IEEE BiCMOS and Compound semiconductor Integrated Circuits and Technology Symposium (BCICTS) %8 Nov %G eng %R 10.1109/BCICTS45179.2019.8972738 %0 Conference Paper %B 2019 IEEE Radio Frequency Integrated Circuits Symposium (RFIC) %D 2019 %T A 18.2-29.3 GHz Colpitts VCOs bank with -119.5 dBc/Hz Phase Noise at 1 MHz Offset for 5G Communications %A F. Quadrelli %A F. Panazzolo %A M. Tiebout %A F. Padovan %A M. Bassi %A Bevilacqua, A. %K 5G %K BiCMOS %K BiCMOS integrated circuits %K Colpitts %K phase noise %K Silicon germanium %K Topology %K Tuning %K Varactors %K voltage controlled oscillators %B 2019 IEEE Radio Frequency Integrated Circuits Symposium (RFIC) %8 June %G eng %0 Conference Paper %B ESSCIRC 2019 - IEEE 45th European Solid State Circuits Conference (ESSCIRC) %D 2019 %T A 19.5 GHz 28 nm CMOS Class-C VCO with Reduced 1/f Noise Upconversion %A A. Franceschin %A P. Andreani %A F. Padovan %A M. Bassi %A R. Nonis %A Bevilacqua, A. %K 1/f noise %K phase noise %K voltage-controlled oscillators %B ESSCIRC 2019 - IEEE 45th European Solid State Circuits Conference (ESSCIRC) %8 Sep. %G eng %R 10.1109/ESSCIRC.2019.8902813 %0 Journal Article %J IEEE Solid-State Circuits Letters %D 2019 %T A 39-GHz Frequency Tripler With >40-dBc Harmonic Rejection for 5G Communication Systems in 28-nm Bulk CMOS %A M. Bassi %A G. Boi %A F. Padovan %A J. Fritzin %A S. Di Martino %A D. Knauder %A Bevilacqua, A. %K 5G communication systems %K 5G mobile communication %K Bandwidth %K BiCMOS technologies %K bulk CMOS technology %K carrier signal generation %K CMOS %K CMOS analogue integrated circuits %K communication systems %K edge-combining concept %K field effect MMIC %K fifth generation (5G) %K frequency 39 GHz %K Frequency conversion %K Frequency measurement %K frequency multiplier %K frequency multipliers %K frequency tripler %K Harmonic analysis %K harmonic rejection %K harmonics suppression %K high harmonic rejection ratio %K injection locked oscillators %K low phase noise %K low spur level %K mm-waves %K MMIC frequency convertors %K multipoint injection-locked ring oscillator %K phase noise %K power 25.0 mW %K radar %K Ring oscillators %K robust rejection ratio %K single-stage polyphase filter %K size 28 nm %K tripler %K V %K voltage 0.9 V %B IEEE Solid-State Circuits Letters %V 2 %P 107-110 %8 Sep. %G eng %R 10.1109/LSSC.2019.2930198 %0 Conference Paper %B 2019 IEEE International Conference on Microwaves, Antennas, Communications and Electronic Systems (COMCAS) %D 2019 %T Considerations on 120GHz LO Signal Generation and Distribution for Highly-Integrated Multi-Channel Radar Transceivers %A A. Bilato %A V. Issakov %A Bevilacqua, A. %K 120GHz %K D-band %K LO distribution %K low-power %K millimeter-wave %K radar %K SiGe BiCMOS %K transceiver %B 2019 IEEE International Conference on Microwaves, Antennas, Communications and Electronic Systems (COMCAS) %8 Nov %G eng %R 10.1109/COMCAS44984.2019.8958335 %0 Journal Article %J IEEE Transactions on Electron Devices %D 2019 %T Demonstration of UV-Induced Threshold Voltage Instabilities in Vertical GaN Nanowire Array-Based Transistors %A Ruzzarin, Maria %A Meneghini, Matteo %A de Santi, Carlo %A Neviani, Andrea %A Yu, Feng %A Strempel, Klaas %A Fatahilah, Muhammad Fahlesa %A Witzigmann, Bernd %A Wasisto, Hutomo Suryo %A Waag, Andreas %A Meneghesso, Gaudenzio %A Zanoni, Enrico %B IEEE Transactions on Electron Devices %V 66 %P 2119-2124 %G eng %R 10.1109/TED.2019.2904851 %0 Conference Paper %B 2019 26th IEEE International Conference on Electronics, Circuits and Systems (ICECS) %D 2019 %T Global Optimization of Reconfigurable Switched Capacitor DC-DC Converters %A G. Marin %A K. Cherniak %A V. Subotskaya %A E. Bodano %A C. Sandner %A Bevilacqua, A. %B 2019 26th IEEE International Conference on Electronics, Circuits and Systems (ICECS) %8 Nov %G eng %R 10.1109/ICECS46596.2019.8965145 %0 Conference Paper %B 2019 IEEE International Symposium on Circuits and Systems (ISCAS) %D 2019 %T A Multi-Phase Self-Reconfigurable Switched-Capacitor DC-DC Step-Up Converter Integrated in CMOS Technology %A A. Gerosa %A Bevilacqua, A. %A G. Spiazzi %K energy harvesting %K extended binary representation %K Fibonacci %K switched capacitor DC-DC converters %B 2019 IEEE International Symposium on Circuits and Systems (ISCAS) %8 May %G eng %R 10.1109/ISCAS.2019.8702415 %0 Journal Article %J IEEE Transactions on Power Electronics %D 2019 %T Non-isolated High Step-up DC-DC Converter with Minimum Switch Voltage Stress %A G. Spiazzi %A D. Biadene %A S. Marconi %A Bevilacqua, A. %K boost converters %K capacitors %K charge pump circuits %K Charge pumps %K high step-up DC-DC converters %K Inductance %K inductors %K Stress %K Switches %K Topology %B IEEE Transactions on Power Electronics %V 34 %P 1470-1480 %8 02/2019 %G eng %N 2 %R 10.1109/TPEL.2018.2833500 %0 Conference Paper %B 2019 IEEE International Conference on Microwaves, Antennas, Communications and Electronic Systems (COMCAS) %D 2019 %T UWB Radar for High Resolution Breast Cancer Scanning: System, Architectures, and Challenges %A D. Oloumi %A Bevilacqua, A. %A M. Bassi %K Breast cancer imaging %K FMCW %K pulse radar %K SFCW %K UWB %B 2019 IEEE International Conference on Microwaves, Antennas, Communications and Electronic Systems (COMCAS) %8 Nov %G eng %R 10.1109/COMCAS44984.2019.8957864 %0 Conference Paper %B 2018 25th IEEE International Conference on Electronics, Circuits and Systems (ICECS) %D 2018 %T A 28nm Low-Voltage Digital Power-Amplifier for QAM-256 WIFI Applications in 0.5mm2 Area w/ 2D Digital-Pre-Distortion and Package Combiner %A A. Passamani %A D. Ponton %A A. Wolter %A G. Knoblinger %A Bevilacqua, A. %K Area %K CMOS technology %K Combiner-in-Package %K DAT %K DPA %K DPD %K efficiency %K EVM %K power %K power amplifier %K power amplifiers %K Power Combiner %K Power combiners %K Power generation %K Power measurement %K Pre-Distortion %K System-in-Package %K WIFI %K Windings %K Wireless %K Wireless fidelity %B 2018 25th IEEE International Conference on Electronics, Circuits and Systems (ICECS) %8 Dec %G eng %R 10.1109/ICECS.2018.8617936 %0 Journal Article %J IEEE Transactions on Circuits and Systems I: Regular Papers %D 2018 %T Class-J SiGe X-Band Power Amplifier Using a Ladder Filter-Based AM-PM Distortion Reduction Technique %A P. Scaramuzza %A C. Rubino %A M. Caruso %A M. Tiebout %A Bevilacqua, A. %A A. Neviani %K AM-PM distortion. %K Capacitance %K class-J %K Distortion %K Harmonic analysis %K Impedance %K ladder filter %K power amplifier %K Power harmonic filters %K SiGe %K Silicon germanium %K transistors %K X-Band %B IEEE Transactions on Circuits and Systems I: Regular Papers %V 65 %P 3780 - 3789 %G eng %N 11 %R 10.1109/TCSI.2018.2858573 %0 Conference Paper %B 2018 IEEE Nuclear Science Symposium and Medical Imaging Conference Proceedings (NSS/MIC) %D 2018 %T Design implementation and test results of the RD53A, a 65 nm large scale chip for next generation pixel detectors at the HL-LHC %A Marconi, S. %A Barbero, M. B. %A Fougeron, D. %A Godiot, S. %A Menouni, M. %A Pangaud, P. %A Rozanov, A. %A Breugnon, P. %A Bomben, M. %A Calderini, G. %A Crescioli, F. %A Dortz, O. Le %A Marchiori, G. %A Dzahini, D. %A Rarbi, F. E. %A Gaglione, R. %A Krüger, H. %A Daas, M. %A Dieter, Y. %A Hemperek, T. %A Hügging, F. %A Moustakas, K. %A Pohl, D. %A Rymaszewski, P. %A Standke, M. %A Vogt, M. %A Wang, T. %A Wermes, N. %A Karagounis, M. %A Stiller, A. %A Marzocca, C. %A Marzocca, G. %A De Robertis, G. %A Loddo, F. %A Licciulli, F. %A Andreazza, A. %A Liberali, V. %A Stabile, A. %A Frontini, L. %A Bagatin, M. %A Bisello, D. %A Gerardin, S. %A Mattiazzo, S. %A Paccagnella, A. %A Vogrig, D. %A Bonaldo, S. %A Bacchetta, N. %A Gaioni, L. %A De Canio, F. %A Manghisoni, M. %A Re, V. %A Riceputi, E. %A Traversi, G. %A Ratti, L. %A Vacchi, C. %A Androsov, K. %A Beccherle, R. %A Magazzu, G. %A Minuti, M. %A Morsani, F. %A Palla, F. %A Poulios, S. %A Bilei, G. M. %A Menichelli, M. %A Placidi, P. %A Dellacasa, G. %A Demaria, N. %A Mazza, G. %A Monteil, E. %A Pacher, L. %A Paternò, A. %A Rivetti, A. %A Da Rocha Rolo, M. D. %A Gajanana, D. %A Gromov, V. %A van Eijk, B. %A Kluit, R. %A Vitkovskiy, A. %A Benka, T. %A Havranek, M. %A Janoska, Z. %A Marcisovsky, M. %A Neue, G. %A Tomasek, L. %A Kafka, V. %A Vrba, V. %A Lopez-Morillo, E. %A Palomo, F. R. %A Muñoz, F. %A Vila, I. %A Jiménez, E. M. S. %A Abbaneo, D. %A Christiansen, J. %A Orfanelli, S. %A Jara Casas, L. M. %A Conti, E. %A Bell, S. %A Prydderch, M. L. %A Thomas, S. %A Christian, D. C. %A Deptuch, G. %A Fahim, F. %A Hoff, J. %A Lipton, R. %A Liu, T. %A Zimmerman, T. %A Miryala, S. %A Garcia-Sciveres, M. %A Gnani, D. %A Krieger, A. %A Papadopoulou, K. %A Heim, T. %A Carney, R. %A Nachman, B. %A Renteira, C. %A Wallangen, V. %A Hoeferkamp, M. %A Seidel, S. %B 2018 IEEE Nuclear Science Symposium and Medical Imaging Conference Proceedings (NSS/MIC) %G eng %R 10.1109/NSSMIC.2018.8824486 %0 Journal Article %J IEEE Transactions on Circuits and Systems II: Express Briefs %D 2018 %T On the Optimal Operation Frequency to Minimize Phase Noise in Integrated Harmonic Oscillators %A A. Franceschin %A F. Padovan %A R. Nonis %A Bevilacqua, A. %K capacitors %K frequency generation %K Inductance %K inductors %K local oscillators %K phase noise %K Q-factor %K radiofrequency integrated circuits %K Resistance %K tuned circuits. %B IEEE Transactions on Circuits and Systems II: Express Briefs %V 65 %P 657 - 661 %G eng %N 5 %R 10.1109/TCSII.2018.2822483 %0 Conference Paper %B 2018 IEEE International Solid - State Circuits Conference - (ISSCC) %D 2018 %T A quad-core 15GHz BiCMOS VCO with -124dBc/Hz phase noise at 1MHz offset, -189dBc/Hz FOM, and robust to multimode concurrent oscillations %A F. Padovan %A F. Quadrelli %A M. Bassi %A M. Tiebout %A Bevilacqua, A. %K 1/f noise %K BiCMOS analogue integrated circuits %K bipolar MMIC %K bipolar transistors %K circuit tuning %K coupling network %K Couplings %K figure-of-merit %K frequency 15.0 GHz %K higher-Q passives %K larger oscillation amplitudes %K local oscillators %K minimum phase noise %K MMIC oscillators %K multimode concurrent oscillations %K multitone concurrent oscillations %K N oscillators %K next-generation communication %K phase noise %K quad-core BiCMOS VCO %K quad-core bipolar VCO %K radar systems %K resistivity substrate %K Robustness %K single voltage-controlled oscillator %K supply voltage %K symbol constellations %K Temperature measurement %K Tuning %K ultra-scaled CMOS %K voltage-controlled oscillators %B 2018 IEEE International Solid - State Circuits Conference - (ISSCC) %8 Feb %G eng %R 10.1109/ISSCC.2018.8310341 %0 Journal Article %J IEEE Transactions on Circuits and Systems I: Regular Papers %D 2018 %T On the Remarkable Performance of the Series-Resonance CMOS Oscillator %A F. Pepe %A Bevilacqua, A. %A Andreani, P. %K Harmonic analysis %K Impedance %K impulse sensitivity function (ISF) %K Inverters %K MOS devices %K oscillators %K phase noise %K Topology %B IEEE Transactions on Circuits and Systems I: Regular Papers %V 65 %P 531-542 %8 Feb %R 10.1109/TCSI.2017.2727283 %0 Journal Article %J IEEE Transactions on Circuits and Systems I: Regular Papers %D 2018 %T Second-Order Equivalent Circuits for the Design of Doubly-Tuned Transformer Matching Networks %A A. Mazzanti %A Bevilacqua, A. %K Bandwidth %K capacitors %K coupled resonators. %K Impedance %K Magnetic resonance %K magnetic transformer %K Matching network %K resonators %K RLC circuits %B IEEE Transactions on Circuits and Systems I: Regular Papers %V 65 %P 4157 - 4168 %G eng %N 12 %R 10.1109/TCSI.2018.2846029 %0 Conference Paper %B 2017 IEEE International Solid-State Circuits Conference (ISSCC) %D 2017 %T A 1.1V 28.6dBm fully integrated digital power amplifier for mobile and wireless applications in 28nm CMOS technology with 35% PAE %A Passamani, A %A Ponton, D. %A E. Thaller %A Knoblinger, G. %A Neviani, A. %A Bevilacqua, A. %K capacitors %K Frequency measurement %K power amplifiers %K Power generation %K Power measurement %K Switches %K Wireless communication %B 2017 IEEE International Solid-State Circuits Conference (ISSCC) %P 232-233 %8 Feb %R 10.1109/ISSCC.2017.7870346 %0 Conference Paper %B ESSCIRC 2017 - 43rd IEEE European Solid State Circuits Conference %D 2017 %T A 21GHz 20.5%-tuning range Colpitts VCO with -119 dBc/Hz phase noise at 1MHz offset %A F. Boscolo %A Padovan, F. %A F. Quadrelli %A Tiebout, M. %A Neviani, A. %A Bevilacqua, A. %K BiCMOS integrated circuits %K bipolar MMIC %K current 17.5 mA %K frequency 1 MHz %K frequency 18.8 GHz to 23.1 GHz %K Ge-Si alloys %K microwave oscillators %K oscillator figure-of-merit %K phase noise %K SiGe %K SiGe BiCMOS Colpitts VCO %K Silicon germanium %K transformer-coupled varactor %K Tuning %K Varactors %K very low phase noise %K voltage 4 V %K voltage controlled oscillator %K voltage-controlled oscillators %B ESSCIRC 2017 - 43rd IEEE European Solid State Circuits Conference %P 91-94 %8 Sept %R 10.1109/ESSCIRC.2017.8094533 %0 Conference Paper %B ESSCIRC 2017 - 43rd IEEE European Solid State Circuits Conference %D 2017 %T Class-AB and class-J 22 dBm SiGe HBT PAs for X-band radar systems %A P. Scaramuzza %A C. Rubino %A Tiebout, M. %A Caruso, M. %A M. Ortner %A Neviani, A. %A Bevilacqua, A. %K Attenuation %K class-AB SiGe HBT PA %K class-J SiGe HBT PA %K differential circuit %K efficiency 31 percent %K Electronics packaging %K Gain %K gain 20 dB %K Ge-Si alloys %K Heterojunction bipolar transistors %K input matching network %K integrated transformers %K microwave bipolar transistors %K microwave power amplifiers %K PA core %K PGA core %K phased array %K phased array radar %K power amplifier %K Power generation %K Power measurement %K programable gain amplifier %K radar %K SiGe %K Silicon germanium %K word length 8 bit %K X-band phased array radar %B ESSCIRC 2017 - 43rd IEEE European Solid State Circuits Conference %P 187-190 %8 Sept %R 10.1109/ESSCIRC.2017.8094557 %0 Conference Paper %B 2017 IEEE Southern Power Electronics Conference (SPEC) %D 2017 %T Non-isolated high step-up DC-DC converter with minimum switch voltage stress %A G. Spiazzi %A D. Biadene %A S. Marconi %A Bevilacqua, A. %K boost converters %K capacitors %K charge pump circuits %K Charge pumps %K high step-up DC-DC converters %K inductors %K Stress %K Switches %K Topology %K Voltage control %B 2017 IEEE Southern Power Electronics Conference (SPEC) %8 Dec %G eng %R 10.1109/SPEC.2017.8333551 %0 Journal Article %J IEEE Transactions on Microwave Theory and Techniques %D 2017 %T A Symbol-Duty-Cycled 440-pJ/b Impulse Radio Receiver With 0.57-aJ Sensitivity in 130-nm CMOS %A Vogrig, D. %A Bevilacqua, A. %A Gerosa, A. %A Neviani, A. %K CMOS %K Gold %K impulse radio %K Mixers %K Narrowband %K Protocols %K receivers %K Sensitivity %K symbol-level duty cycling %K synchronization %K ultra-wideband (UWB) %B IEEE Transactions on Microwave Theory and Techniques %V 65 %P 565-573 %8 Feb %R 10.1109/TMTT.2016.2633337 %0 Journal Article %J IEEE Journal of Solid-State Circuits %D 2016 %T A 12 GHz 22 dB-Gain-Control SiGe Bipolar VGA With 2° Phase-Shift Variation %A Padovan, F. %A Tiebout, M. %A Neviani, A. %A Bevilacqua, A. %K Antenna radiation patterns %K Array signal processing %K compensation circuitry %K frequency 12 GHz %K Gain %K gain 22 dB %K gain control %K gain-control bipolar VGA %K Ge-Si alloys %K input-output phase shift sensitivity to gain variations %K linear-in-dB gain control feature %K Linear-in-decibel (linear-in-dB) gain control %K linear-in-decibel gain control %K microwave amplifiers %K noise figure 5.1 dB %K phase-shift compensation %K phased array %K phased array system %K Phased arrays %K power 83 mW %K semiconductor materials %K SiGe %K SiGe bipolar technology %K signal phase-amplitude control %K Silicon germanium %K ultra-low sidelobe phased arrays %K variable gain amplifier %K variable gain amplifier (VGA) %B IEEE Journal of Solid-State Circuits %V 51 %P 1525-1536 %8 July %G eng %R 10.1109/JSSC.2016.2551749 %0 Conference Paper %B ESSCIRC Conference 2016: 42nd European Solid-State Circuits Conference %D 2016 %T A 15.5-39GHz BiCMOS VGA with phase shift compensation for 5G mobile communication transceivers %A Padovan, F. %A Tiebout, M. %A Neviani, A. %A Bevilacqua, A. %K 5G mobile communication %K 5G mobile communication transceivers %K BiCMOS integrated circuits %K BiCMOS VGA %K Broadband amplifiers %K frequency 15.5 GHz to 39 GHz %K Frequency measurement %K Gain %K gain control %K Gain measurement %K inductors %K microwave integrated circuits %K phase shift compensation %K Phased arrays %K power 104 mW %K radio transceivers %K Wideband %B ESSCIRC Conference 2016: 42nd European Solid-State Circuits Conference %P 363-366 %8 Sept %R 10.1109/ESSCIRC.2016.7598317 %0 Journal Article %J IEEE Transactions on Circuits and Systems II: Express Briefs %D 2016 %T A 64-Channel 965-uW Neural Recording SoC With UWB Wireless Transmission in 130-nm CMOS %A S. Brenna %A Padovan, F. %A Neviani, A. %A Bevilacqua, A. %A A. Bonfanti %A A. L. Lacaita %K 64-channel neural recording SoC %K analog front end %K Analog-digital conversion %K Bandwidth %K biomedical electronics %K biomedical telemetry %K bit rate 20 Mbit/s %K BMI %K Brain???machine interface (BMI) %K capacitors %K CMOS digital integrated circuits %K CMOS process %K efficiency 11.7 percent %K Electronics packaging %K implantable electronics %K inputreferred noise %K low-noise bandpass amplifier %K noise efficiency factor %K power 965 muW %K Power demand %K pulse position modulation %K pulse-position modulation ultrawideband transmitter %K radiotelemetry %K raw neural signal %K SAR analog-to-digital converter %K size 130 nm %K system-on-chip %K transmitters %K ultra wideband communication %K ultra-wideband (UWB) %K ultrawideband (UWB) %K UWB wireless transmission %K voltage 0.5 V %K voltage 5.6 V %K Wireless communication %K wireless neural recording systems %K wireless telemetry %K word length 10 bit %B IEEE Transactions on Circuits and Systems II: Express Briefs %V 63 %P 528-532 %8 June %G eng %R 10.1109/TCSII.2016.2530882 %0 Journal Article %J Analog Integrated Circuits and Signal Processing %D 2016 %T Analysis and design of power and efficiency in third-order matching networks for switched-capacitor power-amplifiers %A Passamani, A %A Ponton, D. %A Knoblinger, G. %A Bevilacqua, A. %B Analog Integrated Circuits and Signal Processing %V 89 %P 307-315 %N 2 %0 Conference Paper %B 2016 IEEE International Symposium on Circuits and Systems (ISCAS) %D 2016 %T A reduced hardware complexity data-weighted averaging algorithm with no tonal behavior %A A. Celin %A Gerosa, A. %K algorithm ciclicity %K Algorithm design and analysis %K bidirectional data-weighted averaging algorithm %K CMOS digital integrated circuits %K CMOS technology %K Complexity theory %K DAC %K device mismatch %K digital to analog converters %K digital-analogue conversion %K Hardware %K hardware complexity %K integrated circuit modelling %K Mathematical model %K Modulation %K Multiplexing %K sigma-delta modulation %K sigma-delta modulators %K size 65 nm %K spurs immunity %K Standards %B 2016 IEEE International Symposium on Circuits and Systems (ISCAS) %P 702-705 %8 May %R 10.1109/ISCAS.2016.7527337 %0 Conference Paper %B 2016 IEEE 17th Workshop on Control and Modeling for Power Electronics (COMPEL) %D 2016 %T Step-Up DC-DC converters combining basic topologies with charge pump %A G. Spiazzi %A S. Marconi %A Bevilacqua, A. %K boost converters %K capacitors %K charge pump %K charge pump circuits %K Charge pumps %K conduction losses %K DC-DC power convertors %K filter inductor %K filters %K floating load connection %K hybrid DC-DC converters %K hybrid step-up DC-DC converter topology %K inductor-based switching cell %K inductors %K magnetic element energy reduction %K Standards %K switched capacitor networks %K switched-capacitor cell %K Switches %K switching convertors %K Switching loss %K switching losses %K Topology %K voltage stress reduction %B 2016 IEEE 17th Workshop on Control and Modeling for Power Electronics (COMPEL) %P 1-6 %8 June %R 10.1109/COMPEL.2016.7556691 %0 Conference Paper %B European Solid-State Circuits Conference (ESSCIRC), ESSCIRC 2015 - 41st %D 2015 %T A 12GHz 22dB-gain-control SiGe bipolar VGA with 2° phase shift variation %A Padovan, F. %A Tiebout, M. %A Neviani, A. %A Bevilacqua, A. %K bandwidth 10 GHz to 14.4 GHz %K frequency 12 GHz %K Gain %K gain 22 dB %K gain 9 dB to 13 dB %K gain control %K gain control SiGe bipolar VGA %K Gain measurement %K Ge-Si alloys %K microwave phase shifters %K Noise %K phase shift variation %K Phased arrays %K power 83 mW %K SiGe %K SiGe bipolar technology %K Silicon germanium %K transistors %K variable gain amplifier %B European Solid-State Circuits Conference (ESSCIRC), ESSCIRC 2015 - 41st %P 56-59 %8 Sept %R 10.1109/ESSCIRC.2015.7313827 %0 Journal Article %J IEEE Transactions on Circuits and Systems I: Regular Papers %D 2015 %T A 2-16 GHz 65 nm CMOS Stepped-Frequency Radar Transmitter With Harmonic Rejection for High-Resolution Medical Imaging Applications %A Caruso, M. %A Bassi, M. %A Bevilacqua, A. %A Neviani, A. %K CMOS %K Frequency conversion %K frequency divider %K frequency division %K Harmonic analysis %K harmonic rejection %K phase locked loops %K Radar imaging %K receivers %K stepped frequency continuous wave (SFCW) %K transmitters %K UWB transmitter %B IEEE Transactions on Circuits and Systems I: Regular Papers %V 62 %P 413-422 %8 Feb %R 10.1109/TCSI.2014.2362332 %0 Journal Article %J IEEE Journal of Solid-State Circuits %D 2015 %T A 40-67 GHz Power Amplifier With 13 dBm PSAT and 16% PAE in 28 nm CMOS LP %A Bassi, M. %A Zhao, J. %A Bevilacqua, A. %A Ghilioni, A. %A Mazzanti, A. %A Svelto, F. %K Bandwidth %K Broadband amplifiers %K Capacitance %K CMOS integrated circuits %K coupled resonators %K gain-bandwidth product %K Impedance %K inductors %K millimeter wave integrated circuits %K power amplifiers %K Power generation %K resonator filters %B IEEE Journal of Solid-State Circuits %V 50 %P 1618-1628 %8 July %R 10.1109/JSSC.2015.2409295 %0 Conference Paper %B Nordic Circuits and Systems Conference (NORCAS): NORCHIP International Symposium on System-on-Chip (SoC), 2015 %D 2015 %T Analysis and design of a 1.1dB-IL third-order Matching Network for Switched-Capacitor PAs %A Passamani, A %A Ponton, D. %A Knoblinger, G. %A Bevilacqua, A. %K Bluetooth %K Capacitance %K circuit optimisation %K circuit simulations %K CMOS analogue integrated circuits %K CMOS RF metal stack %K frequency 2.4 GHz %K integrated circuit design %K Integrated circuit modeling %K Manganese %K power amplifiers %K Power generation %K Radio frequency %K Resistance %K size 28 nm %K switched capacitor networks %K switched capacitor power amplifier %K switched-capacitor PA %K Switches %K third-order matching network %K voltage 1.1 V %B Nordic Circuits and Systems Conference (NORCAS): NORCHIP International Symposium on System-on-Chip (SoC), 2015 %P 1-4 %8 Oct %R 10.1109/NORCHIP.2015.7364367 %0 Book Section %B Wireless transceiver circuits %D 2015 %T CMOS UWB Transceivers for Short-Range Microwave Medical Imaging %A Bevilacqua, A. %B Wireless transceiver circuits %I CRC Press, Taylor & Francis group %C Boca Raton %P 305–333 %& 12 %0 Journal Article %J IEEE Transactions on Circuits and Systems I: Regular Papers %D 2015 %T Design of Low-Noise K -Band SiGe Bipolar VCOs: Theory and Implementation %A Padovan, F. %A Tiebout, M. %A Mertens, K.L.R. %A Bevilacqua, A. %A Neviani, A. %K $K$-band %K Backhaul communications %K bipolar transistor %K Capacitance %K phase noise %K Q-factor %K Tuning %K Varactors %K voltage controlled oscillator %K voltage-controlled oscillators %B IEEE Transactions on Circuits and Systems I: Regular Papers %V 62 %P 607-615 %8 Feb %R 10.1109/TCSI.2014.2364100 %0 Conference Paper %B 2015 IEEE International Symposium on Circuits and Systems (ISCAS) %D 2015 %T Optimal DWA design in scaled CMOS technologies for mismatch cancellation in multibit ΣΔ ADCs %A A. Celin %A Gerosa, A. %K Algorithm design and analysis %K analog-to-digital converter %K Clocks %K CMOS digital integrated circuits %K CMOS integrated circuits %K CMOS technologies %K CMOS technology %K data weighted averaging %K DEM algorithms %K dynamic element matching algorithms %K hardware complexity %K Heuristic algorithms %K internal DAC %K logic design %K mismatch cancellation %K Modulation %K multibit sigma-delta ADC %K multibit ΣΔ ADC %K Noise %K optimal DWA design %K sigma-delta modulation %K sigma-delta modulator %K size 65 nm %K Timing %K ΣΔ modulator %B 2015 IEEE International Symposium on Circuits and Systems (ISCAS) %P 1454-1457 %8 May %R 10.1109/ISCAS.2015.7168918 %0 Journal Article %J IEEE Transactions on Circuits and Systems I: Regular Papers %D 2015 %T On the Phase Noise Performance of Transformer-Based CMOS Differential-Pair Harmonic Oscillators %A Mazzanti, A. %A Bevilacqua, A. %K Impulse sensitivity function %K oscillator %K phase noise %K quality factor %K resonator %K transformer %B IEEE Transactions on Circuits and Systems I: Regular Papers %V 62 %P 2334-2341 %8 Sept %R 10.1109/TCSI.2015.2451915 %0 Conference Paper %B 2015 Asia-Pacific Microwave Conference (APMC) %D 2015 %T SiGe BiCMOS VCO with 27% tuning range for 5G communications %A Padovan, F. %A Tiebout, M. %A F. Dielacher %A Bevilacqua, A. %A Neviani, A. %K 5G communications %K 5G mobile communication %K BiCMOS integrated circuits %K BiCMOS VCO %K current 8 mA %K frequency 12 GHz to 15.9 GHz %K Frequency measurement %K Ge-Si alloys %K Heterojunction bipolar transistors %K microwave oscillators %K oscillator core %K phase noise %K SiGe %K Silicon germanium %K transformer-coupled varactor %K Tuning %K Varactors %K voltage 3.3 V %K voltage-controlled oscillators %B 2015 Asia-Pacific Microwave Conference (APMC) %V 1 %P 1-3 %8 Dec %R 10.1109/APMC.2015.7411748 %0 Conference Paper %B Radio Frequency Integrated Circuits Symposium (RFIC), 2015 IEEE %D 2015 %T A symbol-duty-cycled 440 pJ/b impulse radio receiver with 0.57 aJ sensitivity in 130 nm CMOS %A Vogrig, D. %A Bevilacqua, A. %A Gerosa, A. %A Neviani, A. %K 2-PPM modulation %K bit error rate %K bit rate 4.4 Mbit/s %K CMOS integrated circuits %K energy 0.57 aJ %K impedance matching %K impulse radio %K Mixers %K non-coherent receiver %K noncoherent CMOS UWB impulse radio receiver %K Partial discharges %K pulse position modulation %K radio receivers %K receivers %K Sensitivity %K size 130 nm %K symbol-duty-cycled impulse radio receiver %K synchronization %K ultra-low energy consumption %K UWB %B Radio Frequency Integrated Circuits Symposium (RFIC), 2015 IEEE %P 243-246 %8 May %R 10.1109/RFIC.2015.7337750 %0 Conference Paper %B European Solid State Circuits Conference (ESSCIRC), ESSCIRC 2014 - 40th %D 2014 %T A 20Mb/s, 2.76 pJ/b UWB impulse radio TX with 11.7% efficiency in 130 nm CMOS %A Padovan, F. %A Bevilacqua, A. %A Neviani, A. %K antenna %K Antennas %K Bandwidth %K bit rate 20 Mbit/s %K CMOS integrated circuits %K CMOS technology %K digitally controlled oscillator %K efficiency 11.7 percent %K energy conservation %K frequency 7.25 GHz to 8.5 GHz %K low-voltage supply %K microwave antennas %K microwave oscillators %K operation efficiency %K oscillators %K overall energy efficiency %K PPM-modulated pulses %K radio transmitters %K size 130 nm %K supporting communication ranges %K ultra wideband communication %K ultralow energy neural recording applications %K UWB impulse radio transmitter %K UWB impulse radio TX %K voltage 0.5 V %K Wireless communication %B European Solid State Circuits Conference (ESSCIRC), ESSCIRC 2014 - 40th %P 287-290 %8 Sept %R 10.1109/ESSCIRC.2014.6942078 %0 Journal Article %J Electronics Letters %D 2014 %T 3-18 GHz compact planar antenna for short-range radar imaging %A Capobianco, AD. %A Khan, M.S. %A Caruso, M. %A Bevilacqua, A. %K antenna array %K decoupling structure %K frequency 3 GHz to 18 GHz %K inverse synthetic array radar %K microwave radar imaging %K planar antenna arrays %K Radar imaging %K short-range radar imaging %K steel object detection %K structured ground plane %K subcentimetre spatial resolution %K synthetic aperture radar %K ultracompact planar antenna %K wide-band monopoles %B Electronics Letters %V 50 %P 1016-1018 %8 July %R 10.1049/el.2014.0563 %0 Conference Paper %B European Solid State Circuits Conference (ESSCIRC), ESSCIRC 2014 - 40th %D 2014 %T A 40-67GHz power amplifier with 13dBm PSAT and 16% PAE in 28 nm CMOS LP %A Junlei Zhao %A Bassi, M. %A Bevilacqua, A. %A Ghilioni, A. %A Mazzanti, A. %A Svelto, F. %K Bandwidth %K CMOS analogue integrated circuits %K CMOS integrated circuits %K CMOS LP %K differential amplifiers %K efficiency 16 percent %K field effect MIMIC %K frequency 40 GHz to 67 GHz %K Gain %K Impedance %K impedance matching %K inductors %K low-power devices %K low-power electronics %K millimetre wave power amplifiers %K millimetre wave resonators %K mm-wave PAs %K neutralized common source stages %K Norton transformations %K output matching networks %K PAE %K Power generation %K PSAT %K size 28 nm %K two-stage differential PA %K wideband amplifiers %K wideband inductively coupled resonators %K wideband power amplifiers %K wireless applications %B European Solid State Circuits Conference (ESSCIRC), ESSCIRC 2014 - 40th %P 179-182 %8 Sept %R 10.1109/ESSCIRC.2014.6942051 %0 Conference Paper %B 2014 21st IEEE International Conference on Electronics, Circuits and Systems (ICECS) %D 2014 %T Energy-efficient ultra-wideband impulse radios for short-range low-data rate communications %A Bevilacqua, A. %A Neviani, A. %K Bandwidth %K CMOS integrated circuits %K communication technology %K energy efficient ultrawideband impulse radios %K energy harvesters %K energy harvesting %K Frequency conversion %K fully integrated UWB-IR transmitters %K military communication %K military radio applications %K radio transmitters %K receivers %K short range low data rate communications %K transceivers %K ultra wideband communication %K Wireless communication %K wireless sensor networks %B 2014 21st IEEE International Conference on Electronics, Circuits and Systems (ICECS) %P 874-877 %8 Dec %R 10.1109/ICECS.2014.7050125 %0 Journal Article %J IEEE Solid-State Circuits Magazine %D 2014 %T Great lessons from the back of the envelope %A Bevilacqua, A. %K Educational institutions %K Engineering profession %K Laboratories %K Microelectronics %K MIMICs %B IEEE Solid-State Circuits Magazine %V 6 %P 45-45 %8 Summer %R 10.1109/MSSC.2014.2327711 %0 Conference Paper %B 2014 10th Conference on Ph.D. Research in Microelectronics and Electronics (PRIME) %D 2014 %T A linear model of efficiency for Switched-Capacitor RF Power-Amplifiers %A Passamani, A %A Ponton, D. %A Knoblinger, G. %A Bevilacqua, A. %K back-of-the-envelope equations %K C-DAC %K CMOS analogue integrated circuits %K CMOS integrated circuits %K DPA %K efficiency %K Equations %K Integrated circuit modeling %K intrinsic power %K linear model %K low-power CMOS technology %K Mathematical model %K output stage inverter %K PA %K power %K Radio frequency %K radio-frequency switched-capacitor power amplifiers %K radiofrequency power amplifiers %K RF-DAC %K SCPA %K Semiconductor device modeling %K size 28 nm %K SpectreRF simulations %K switched capacitor networks %K Switches %K target output power %B 2014 10th Conference on Ph.D. Research in Microelectronics and Electronics (PRIME) %P 1-4 %8 June %R 10.1109/PRIME.2014.6872748 %0 Journal Article %J IEEE Microwave and Wireless Components Letters %D 2014 %T An X-Band Lumped-Element Wilkinson Combiner With Embedded Impedance Transformation %A Caruso, M. %A Bevilacqua, A. %A Neviani, A. %K Frequency measurement %K Impedance %K Impedance transformation %K integrated power combiner/divider %K Loss measurement %K Phased arrays %K Ports (Computers) %K Power combiners %K Transmission line measurements %K Wilkinson combiner %B IEEE Microwave and Wireless Components Letters %V 24 %P 689-691 %8 Oct %R 10.1109/LMWC.2014.2344311 %0 Conference Paper %B IEEE ISSCC Digest of Technical Papers %D 2013 %T A 2-16GHz 204mW 3mm-Resolution Stepped Frequency Radar for Breast Cancer Diagnostic Imaging in 65nm CMOS %A Caruso, M. %A Bassi, M. %A Bevilacqua, A. %A Neviani, A. %B IEEE ISSCC Digest of Technical Papers %P 204-241 %8 Feb. %0 Journal Article %J IEEE Journal of Solid-State Circuits %D 2013 %T A 65-nm CMOS 1.75-15 GHz Stepped Frequency Radar Receiver for Early Diagnosis of Breast Cancer %A Bassi, M. %A Caruso, M. %A Bevilacqua, A. %A Neviani, A. %K CMOS %K Radar imaging %K UWB receiver %B IEEE Journal of Solid-State Circuits %V 48 %P 1741-1750 %R 10.1109/JSSC.2013.2253234 %0 Journal Article %J IEEE Transactions on Microwave Theory and Techniques %D 2013 %T An Integrated Microwave Imaging Radar With Planar Antennas for Breast Cancer Detection %A Bassi, M. %A Caruso, M. %A Khan, M.S. %A Bevilacqua, A. %A Capobianco, A.-D. %A Neviani, A. %K Biomedical image processing %K cancer detection %K CMOS integrated circuits %K Imaging %K Radar antennas %K Radar imaging %K receivers %K RF integrated circuits %K transceivers %K Tumors %B IEEE Transactions on Microwave Theory and Techniques %V 61 %P 2108-2118 %R 10.1109/TMTT.2013.2247052 %0 Conference Paper %B 2013 IEEE 13th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (SiRF), %D 2013 %T A K-band SiGe bipolar VCO with transformer-coupled varactor for backhaul links %A Padovan, Fabio %A Tiebout, Marc %A Mertens, Koen %A Bevilacqua, A. %A Neviani, Andrea %B 2013 IEEE 13th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (SiRF), %P 108-110 %R 10.1109/SiRF.2013.6489448 %0 Conference Paper %B Proceedings of the 2013 ESSCIRC %D 2013 %T Wideband {2–16GHz} local oscillator generation for short-range radar applications %A Caruso, Michele %A Bassi, Matteo %A Bevilacqua, A. %A Neviani, Andrea %B Proceedings of the 2013 ESSCIRC %P 49-52 %0 Conference Paper %B Proc. of the IEEE European Solid-State Circuits Conference %D 2012 %T A 1.75–15 GHz Stepped Frequency Receiver for Breast Cancer Imaging in 65nm CMOS %A Bassi, M. %A Caruso, M. %A Bevilacqua, A. %A Neviani, A. %B Proc. of the IEEE European Solid-State Circuits Conference %P 353–356 %0 Journal Article %J ANALOG INTEGRATED CIRCUITS AND SIGNAL PROCESSING %D 2012 %T A 2.7–6.1 GHz CMOS local oscillator based on frequency multiplication by 3/2 %A Bevilacqua, A. %A Andreani, Pietro %B ANALOG INTEGRATED CIRCUITS AND SIGNAL PROCESSING %P 1–10 %U http://dx.medra.org/10.1007/s10470-012-9892-x %R 10.1007/s10470-012-9892-x %0 Journal Article %J Circuits and Systems I: Regular Papers, IEEE Transactions on %D 2012 %T An Analysis of 1/f Noise to Phase Noise Conversion in CMOS Harmonic Oscillators %A Bevilacqua, A. %A Andreani, P. %B Circuits and Systems I: Regular Papers, IEEE Transactions on %V 59 %P 938 -945 %8 may %R 10.1109/TCSI.2012.2190564 %0 Journal Article %J Circuits and Systems I: Regular Papers, IEEE Transactions on %D 2012 %T Integrated SFCW Transceivers for UWB Breast Cancer Imaging: Architectures and Circuit Constraints %A Bassi, M. %A Bevilacqua, A. %A Gerosa, A. %A Neviani, A. %B Circuits and Systems I: Regular Papers, IEEE Transactions on %V 59 %P 1228 -1241 %8 june %R 10.1109/TCSI.2011.2173400 %0 Journal Article %J Analog Integrated Circuits and Signal Processing %D 2012 %T A local oscillator for WCDMA band VII based on frequency multiplication %A Gerosa, A. %A Bevilacqua, A. %A Neviani, A. %B Analog Integrated Circuits and Signal Processing %V 72 %8 07/2012 %N 1 %! Analog Integr Circ Sig Process %& 111 %R 10.1007/s10470-012-9839-2 %0 Conference Paper %B 2012 IEEE International Conference on IC Design Technology (ICICDT) %D 2012 %T Low-power ultra-Wide-Band Impulse Radio transceivers for short range communications %A Neviani, Andrea %A Bevilacqua, A. %A Gerosa, Andrea %A Vogrig, Daniele %B 2012 IEEE International Conference on IC Design Technology (ICICDT) %P 1 -4 %8 30 2012-june 1 %R 10.1109/ICICDT.2012.6232865 %0 Journal Article %J IEEE Transactions on Circuits and Systems II: Express Briefs %D 2012 %T Phase Noise Analysis of the Tuned-Input-Tuned-Output (TITO) Oscillator %A Bevilacqua, A. %A Andreani, P. %B IEEE Transactions on Circuits and Systems II: Express Briefs %V 59 %P 20 -24 %8 jan. %R 10.1109/TCSII.2011.2173970 %0 Conference Paper %B Proceedings of the 2012 ESSCIRC %D 2012 %T A SiGe bipolar VCO for backhaul E-band communication systems %A Padovan, F. %A Tiebout, M. %A Mertens, K. %A Bevilacqua, A. %A Neviani, A. %K backhaul E-band communication system %K bipolar integrated circuits %K frequency 18.9 GHz to 22.1 GHz %K Frequency measurement %K frequency multiplier %K Ge-Si alloys %K K-band %K K-band SiGe bipolar VCO %K microwave oscillators %K phase noise %K SiGe %K Silicon germanium %K Tuning %K voltage-controlled oscillators %B Proceedings of the 2012 ESSCIRC %P 402 -405 %8 Sept. %R 10.1109/ESSCIRC.2012.6341279 %0 Journal Article %J IEEE Microwave and Wireless Components Letters %D 2012 %T A X-Band I/Q Upconverter in 65 nm CMOS for High Resolution FMCW Radars %A Camponeschi, M. %A Bevilacqua, A. %A Tiebout, M. %A Neviani, A. %B IEEE Microwave and Wireless Components Letters %V 22 %P 141 -143 %8 march %R 10.1109/LMWC.2012.2184275 %0 Conference Paper %B NORCHIP, 2011 %D 2011 %T A 2.7-6.1GHz CMOS local oscillator based on frequency multiplication by 3/2 %A Bevilacqua, A. %A Andreani, P. %B NORCHIP, 2011 %P 1 -4 %8 nov. %R 10.1109/NORCHP.2011.6126709 %0 Journal Article %J IEEE Journal of Solid-State Circuits %D 2011 %T A 5 Mb/s UWB-IR Transceiver Front-End for Wireless Sensor Networks in 0.13um CMOS %A Soldà, S. %A Caruso, M. %A Bevilacqua, A. %A Gerosa, A. %A Vogrig, D. %A Neviani, A. %B IEEE Journal of Solid-State Circuits %V 46 %P 1636 -1647 %8 july %R 10.1109/JSSC.2011.2144070 %0 Conference Paper %B 2011 IEEE International Symposium on Circuits and Systems (ISCAS) %D 2011 %T On the bias noise to phase noise conversion in harmonic oscillators using Groszkowski theory %A Bevilacqua, A. %A Andreani, Pietro %B 2011 IEEE International Symposium on Circuits and Systems (ISCAS) %P 217 -220 %8 may %R 10.1109/ISCAS.2011.5937540 %0 Conference Paper %B 2011 IEEE International Symposium on Circuits and Systems %D 2011 %T Integrated Transceivers for UWB Breast Cancer Imaging: Architecture and Circuit Constraints %A Bassi, M. %A Bevilacqua, A. %A Gerosa, A. %A Neviani, A. %B 2011 IEEE International Symposium on Circuits and Systems %P 2087-2090 %0 Conference Paper %B 2011 IEEE International Conference on Consumer Electronics (ICCE) %D 2011 %T SDR implementation of a DVB-T2 transmitter: The core building blocks %A Fantozzi, Carlo %A Vangelista, Lorenzo %A Vogrig, D. %A Campana, Ottavio %B 2011 IEEE International Conference on Consumer Electronics (ICCE) %P 391 -392 %R 10.1109/ICCE.2011.5722644 %0 Journal Article %J Analog Integrated Circuits and Signal Processing %D 2011 %T Time-variant analysis and design of a power efficient ISM-band quadrature receiver %A Camponeschi, Matteo %A Bevilacqua, A. %A Andreani, Pietro %B Analog Integrated Circuits and Signal Processing %I Springer Netherlands %V 67 %P 11-20 %U http://dx.doi.org/10.1007/s10470-010-9507-3 %0 Journal Article %J IEEE Journal of Solid-State Circuits %D 2010 %T A 0.06 mm2 11 mW Local Oscillator for the GSM Standard in 65 nm CMOS %A Dal Toso, S. %A Bevilacqua, A. %A Tiebout, M. %A Da Dalt, N. %A Gerosa, A. %A Neviani, A. %K cellular radio %K CMOS integrated circuits %K CMOS process %K current 9 mA %K divide-by-four injection-locked frequency divider %K frequency 13 GHz to 15 GHz %K frequency 3 MHz %K frequency dividers %K GSM standard %K LC VCO %K local oscillator %K MMIC oscillators %K network topology %K ring oscillator-based topology %K size 65 nm %K thin-oxide devices %K voltage 1.2 V %K voltage-controlled oscillators %B IEEE Journal of Solid-State Circuits %V 45 %P 1295 -1304 %R 10.1109/JSSC.2010.2049457 %0 Conference Paper %B Proceedings of the ESSCIRC 2010 %D 2010 %T A 4.1 to 5.1 GHz 430 uA injection-locked frequency divider by 7 in 65 nm CMOS %A Bevilacqua, A. %A Lorenzon, L. %A Da Dalt, N. %A Gerosa, A. %A Neviani, A. %K CMOS %K CMOS integrated circuits %K current 430 muA %K digital clocking %K direct injection locking %K frequency 4.1 GHz to 5.1 GHz %K frequency dividers %K injection locked oscillators %K injection-locked frequency divider %K output phase noise %K phase noise %K ring oscillator %K size 65 nm %K voltage 1.2 V %B Proceedings of the ESSCIRC 2010 %P 150 -153 %R 10.1109/ESSCIRC.2010.5619867 %0 Conference Paper %B Proceedings of the ESSCIRC 2010 %D 2010 %T A 5Mb/s UWB-IR CMOS transceiver with a 186 pJ/b and 150 pJ/b TX/RX energy request %A Soldà, S. %A Caruso, M. %A Bevilacqua, A. %A Gerosa, A. %A Vogrig, D. %A Neviani, A. %K amplitude shift keying %K bit rate 5 Mbit/s %K CMOS analogue integrated circuits %K frequency 5.4 GHz %K frequency 7.25 GHz to 8.5 GHz %K LNA %K low noise amplifiers %K OOK modulation %K PPM modulation %K pulse polarity scrambling %K pulsed transmitter %K size 130 nm %K squarer %K transceivers %K UWB-IR CMOS transceiver %K VGA %K windowed integrator %B Proceedings of the ESSCIRC 2010 %P 498 -501 %G eng %R 10.1109/ESSCIRC.2010.5619752 %0 Conference Paper %B Proceedings of 2010 IEEE International Symposium on Circuits and Systems (ISCAS) %D 2010 %T Accurate time-variant analysis of a current-reuse 2.2 GHz 1.3 mW CMOS front-end %A Camponeschi, M. %A Bevilacqua, A. %A Neviani, A. %A Andreani, P. %K accurate time-variant analysis %K CMOS front-end %K CMOS integrated circuits %K CMOS technology %K frequency 2.2 GHz %K gain 27 dB %K mixers (circuits) %K noise figure 13 dB %K power 1.3 mW %K quadrature mixers %K voltage 1 V %B Proceedings of 2010 IEEE International Symposium on Circuits and Systems (ISCAS) %P 2063 -2066 %R 10.1109/ISCAS.2010.5537236 %0 Conference Paper %B Proceedings of 2010 IEEE International Symposium on Circuits and Systems (ISCAS), %D 2010 %T A digitally programmable ring oscillator in the UWB range %A Gerosa, A. %A Soldà, S. %A Bevilacqua, A. %A Vogrig, D. %A Neviani, A. %K CMOS integrated circuits %K CMOS technology %K digitally programmable ring oscillator %K field effect MMIC %K frequency 4 GHz to 12.5 GHz %K impulse radio UWB communication %K impulse radio UWB transmitter %K inverter cell %K MMIC oscillators %K multiloop ring oscillator %K oscillation frequency %K size 0.13 mum %K switch-on time %K transmitters %K tuning range %K ultra wideband communication %B Proceedings of 2010 IEEE International Symposium on Circuits and Systems (ISCAS), %P 1101 -1104 %R 10.1109/ISCAS.2010.5537336 %0 Journal Article %J IEEE Transactions on Microwave Theory and Techniques %D 2010 %T An Integrated Divide-by-Two Direct Injection-Locking Frequency Divider for Bands S Through Ku %A Dal Toso, S. %A Bevilacqua, A. %A Tiebout, M. %A Da Dalt, N. %A Gerosa, A. %A Neviani, A. %B IEEE Transactions on Microwave Theory and Techniques %V 58 %P 1686 -1695 %R 10.1109/TMTT.2010.2049680 %0 Conference Paper %B Circuits and Systems (ISCAS), Proceedings of 2010 IEEE International Symposium on %D 2010 %T Low-power UWB transmitter using a combined mixer and power amplifier %A Soldà, S. %A Caruso, M. %A Vogrig, D. %A Bevilacqua, A. %A Gerosa, A. %A Neviani, A. %K CMOS technology %K combined mixer %K energy efficient transmitter %K fourth-order ladder filter %K Gaussian pulse %K ladder filters %K low power UWB transmitter %K monolithic transformer %K MXR-PA %K power amplifier %K power amplifiers %K transmitter power efficiency %K ultra wideband communication %K UWB impulse radio %K wireless sensor networks %B Circuits and Systems (ISCAS), Proceedings of 2010 IEEE International Symposium on %P 333 -336 %G eng %R 10.1109/ISCAS.2010.5537807 %0 Conference Paper %B Proceedings of 2010 IEEE International Symposium on Circuits and Systems (ISCAS) %D 2010 %T A thorough analysis of the tank quality factor in LC oscillators with switched capacitor banks %A Dal Toso, S. %A Bevilacqua, A. %A Gerosa, A. %A Neviani, A. %K capacitors %K circuit level simulation %K LC oscillator %K oscillators %K switched capacitor bank %K tank quality factor %B Proceedings of 2010 IEEE International Symposium on Circuits and Systems (ISCAS) %P 1903 -1906 %R 10.1109/ISCAS.2010.5537949 %0 Conference Paper %B Proceedings of ESSCIRC 2009 %D 2009 %T A 0.059-mm2 10.8-mW local oscillator for GSM systems in 65-nm CMOS %A Dal Toso, S. %A Bevilacqua, A. %A Tiebout, M. %A Da Dalt, N. %A Gerosa, A. %A Neviani, A. %K cellular radio %K CMOS %K CMOS integrated circuits %K current 9 mA %K divide-by-four injection-locked frequency divider %K frequency 13 GHz to 15 GHz %K frequency 3 MHz %K frequency dividers %K GSM-compliant local oscillator %K LC VCO %K microwave oscillators %K power 10.8 mW %K ring oscillator-based topology %K size 65 nm %K thin-oxide devices %K voltage 1.2 V %K voltage-controlled oscillators %B Proceedings of ESSCIRC 2009 %P 444 -447 %R 10.1109/ESSCIRC.2009.5326029 %0 Conference Paper %B Proc. of 2009 NORCHIP %D 2009 %T Analysis and design of a low-power single-stage CMOS wireless receiver %A Camponeschi, M. %A Bevilacqua, A. %A Andreani, P. %K bandwidth 14 MHz %K CMOS integrated circuits %K current 1.3 mA %K frequency 2.2 GHz %K frequency 200 kHz %K gain 12.4 dB to 13.2 dB %K gain 27.1 dB %K low-noise amplifier %K low-power single-stage CMOS wireless receiver %K oscillating frequency %K quadrature receiver %K radio receivers %K self-oscillating mixer %K voltage 1 V %B Proc. of 2009 NORCHIP %P 1 -4 %R 10.1109/NORCHP.2009.5397826 %0 Journal Article %J IEEE Journal of Solid-State Circuits %D 2009 %T Analysis and Design of an Integrated Notch Filter for the Rejection of Interference in UWB Systems %A Vallese, A. %A Bevilacqua, A. %A Sandner, C. %A Tiebout, M. %A Gerosa, A. %A Neviani, A. %K automatic tuning %K CMOS fourth-order notch filter %K filter calibration %K frequency 5 GHz to 6 GHz %K frequency 900 MHz %K integrated notch filter %K interference rejection %K interference suppression %K low noise amplifiers %K low-noise amplifier %K low-noise filter %K notch filters %K out-of-band blocker %K power 32 mW %K size 0.13 mum %K ultra wideband communication %K UWB systems %B IEEE Journal of Solid-State Circuits %V 44 %P 331 -343 %R 10.1109/JSSC.2008.2010984 %0 Journal Article %J IEEE Transactions on Circuits and Systems I: Regular Papers %D 2009 %T An Energy-Detector for Noncoherent Impulse-Radio UWB Receivers %A Gerosa, A. %A Soldà, S. %A Bevilacqua, A. %A Vogrig, D. %A Neviani, A. %K CMOS integrated circuits %K CMOS technology %K current-to voltage converter %K electric sensing devices %K energy-detector %K Gm-C integrator %K MOS transistor quadratic characteristics %K MOSFET %K noncoherent impulse-radio UWB receivers %K power 5.4 mW %K radio receivers %K short channel effects %K size 0.18 mum %K synchronization %K ultra wideband communication %K voltage follower current sensor %B IEEE Transactions on Circuits and Systems I: Regular Papers %V 56 %P 1030 -1040 %R 10.1109/TCSI.2009.2016125 %0 Conference Paper %B IEEE International Conference on Ultra-Wideband, 2008. ICUWB 2008. %D 2008 %T A 6-9-GHz programmable gain LNA with integrated balun in 90-nm CMOS %A Bevilacqua, A. %A Sandner, C. %A Tiebout, M. %A Gerosa, A. %A Neviani, A. %K baluns %K CMOS digital integrated circuits %K Europe %K field effect MMIC %K frequency 4.5 GHz to 11 GHz %K frequency 6 GHz to 9 GHz %K gain 12.5 dB %K integrated balun %K Japan %K low noise amplifiers %K low-noise amplifier %K microwave amplifiers %K noise figure 3.9 dB to 5.1 dB %K programmable gain LNA %K pure digital CMOS technology %K size 90 nm %K ultra wideband communication %K ultrawideband communications %K USA %K UWB communications %B IEEE International Conference on Ultra-Wideband, 2008. ICUWB 2008. %V 1 %P 25 -28 %R 10.1109/ICUWB.2008.4653277 %0 Conference Paper %B IEEE International Symposium on Circuits and Systems, 2008. ISCAS 2008. %D 2008 %T Analog decoding of trellis coded modulation for multi-level flash memories %A Soldà, S. %A Vogrig, D. %A Bevilacqua, A. %A Gerosa, A. %A Neviani, A. %K analog decoding %K decoding %K error correction %K error correction codes %K flash memories %K multilevel flash memories %K probabilistic decoding algorithms %K transistor-level solutions %K trellis coded modulation %B IEEE International Symposium on Circuits and Systems, 2008. ISCAS 2008. %P 744 -747 %R 10.1109/ISCAS.2008.4541525 %0 Journal Article %J IEEE Journal of Solid-State Circuits %D 2008 %T A Compact Wideband Front-End Using a Single-Inductor Dual-Band VCO in 90 nm Digital CMOS %A Borremans, J. %A Bevilacqua, A. %A Bronckers, S. %A Dehan, M. %A Kuijk, M. %A Wambacq, P. %A Craninckx, J. %K 4-port oscillator %K CMOS digital integrated circuits %K compact wideband front-end %K digital CMOS %K downconversion %K frequency 10 GHz %K frequency 3.5 GHz %K frequency synthesis %K inductorless LNA %K inductors %K low noise amplifiers %K MMIC oscillators %K RF front-end design %K single-inductor dual-band VCO %K size 90 nm %K voltage 1.2 V %K voltage-controlled oscillators %B IEEE Journal of Solid-State Circuits %V 43 %P 2693 -2705 %R 10.1109/JSSC.2008.2004865 %0 Conference Paper %B IEEE International Symposium on Circuits and Systems, 2008. ISCAS 2008. %D 2008 %T Design of broadband inductorless LNAs in ultra-scaled CMOS technologies %A Bevilacqua, A. %A Camponeschi, M. %A Tiebout, M. %A Gerosa, A. %A Neviani, A. %K bandwidth 10 GHz %K broadband inductorless LNA %K CMOS integrated circuits %K feedback %K gain 18 dB %K integrated circuit design %K low noise amplifiers %K power 32 mW %K shunt-shunt resistive feedback %K size 45 nm %K ultra-scaled CMOS technology %K voltage 1 V %K wideband amplifiers %B IEEE International Symposium on Circuits and Systems, 2008. ISCAS 2008. %P 1300 -1303 %R 10.1109/ISCAS.2008.4541664 %0 Conference Paper %B IEEE International Symposium on Circuits and Systems, 2008. ISCAS 2008 %D 2008 %T An energy-detector for non-coherent impulse-radio UWB receivers %A Gerosa, A. %A Costa, M.D. %A Bevilacqua, A. %A Vogrig, D. %A Neviani, A. %K CMOS %K CMOS integrated circuits %K current sensor %K energy detector %K MOS transistors %K MOSFET %K noncoherent impulse radio UWB receivers %K power 5.4 mW %K receivers %K sensors %K size 0.18 mum %K ultra wideband technology %K voltage 1.8 V %B IEEE International Symposium on Circuits and Systems, 2008. ISCAS 2008 %P 2705 -2708 %R 10.1109/ISCAS.2008.4542015 %0 Journal Article %J IEEE Journal of Solid-State Circuits %D 2008 %T UWB Fast-Hopping Frequency Generation Based on Sub-Harmonic Injection Locking %A Dal Toso, S. %A Bevilacqua, A. %A Tiebout, M. %A Marsili, S. %A Sandner, C. %A Gerosa, A. %A Neviani, A. %K CMOS integrated circuits %K CMOS prototype synthesizes %K current 30 mA %K fast hopping carriers %K frequency 8.71 GHz %K frequency hop communication %K frequency synthesizers %K size 90 nm %K sub harmonic injection locking %K ultra wideband communication %K UWB fast hopping frequency generation %K voltage 1.2 V %K WiMedia %B IEEE Journal of Solid-State Circuits %V 43 %P 2844 -2852 %R 10.1109/JSSC.2008.2005702 %0 Conference Paper %B Digest of Technical Papers of 2008 IEEE International Solid-State Circuits Conference %D 2008 %T UWB Fast-Hopping Frequency Generation Based on Sub-Harmonic Injection Locking %A Toso, S.D. %A Bevilacqua, A. %A Tiebout, M. %A Marsili, S. %A Sandner, C. %A Gerosa, A. %A Neviani, A. %K 90nm CMOS %K CMOS integrated circuits %K frequency hop communication %K harmonic oscillators (circuits) %K injection locked oscillators %K LO generation %K optical frequency conversion %K size 90 nm %K subharmonic injection locking %K ultra wideband technology %K UWB fast-hopping frequency generation %B Digest of Technical Papers of 2008 IEEE International Solid-State Circuits Conference %P 124 -601 %R 10.1109/ISSCC.2008.4523088 %0 Conference Paper %B Digest of Technical Papers of 2007 IEEE International Solid-State Circuits Conference %D 2007 %T A 0.13 um CMOS LNA with Integrated Balun and Notch Filter for 3-to-5GHz UWB Receivers %A Bevilacqua, A. %A Vallese, A. %A Sandner, C. %A Tiebout, M. %A Gerosa, A. %A Neviani, A. %K 0.13 micron %K 19.4 dB %K 24 mW %K 3 to 5 GHz %K 7.5 mW %K baluns %K CMOS analogue integrated circuits %K CMOS LNA %K integrated balun %K integrated notch filter %K ISM band %K low noise amplifiers %K microwave integrated circuits %K microwave receivers %K notch filters %K radio receivers %K ultra wideband technology %K UNII band %K UWB receivers %K WLAN blockers %B Digest of Technical Papers of 2007 IEEE International Solid-State Circuits Conference %P 420 -612 %R 10.1109/ISSCC.2007.373473 %0 Conference Paper %B IEEE International Symposium on Circuits and Systems, 2007. ISCAS 2007 %D 2007 %T A 0.18-um CMOS Squarer Circuit for a Non-Coherent UWB Receiver %A Gerosa, A. %A Soldan, M. %A Bevilacqua, A. %A Neviani, A. %K 0.18 micron %K 1.7 mW %K CMOS integrated circuits %K CMOS squarer circuit %K CMOS transistors %K impulse-radio UWB noncoherent receivers %K quadratic law %K radio receivers %K squaring circuits %K transistors %K ultra wide bandwidth %K ultra wideband communication %B IEEE International Symposium on Circuits and Systems, 2007. ISCAS 2007 %P 421 -424 %R 10.1109/ISCAS.2007.378553 %0 Conference Paper %B Proc. of IEEE 2007 European Solid State Circuits Conference %D 2007 %T An analog front-end with integrated notch filter for 3-5 GHz UWB receivers in 0.13 um CMOS %A Vallese, A. %A Bevilacqua, A. %A Sandner, C. %A Tiebout, M. %A Gerosa, A. %A Neviani, A. %K analog front-end %K automatic tuning %K CMOS %K CMOS analogue integrated circuits %K current 20 mA %K frequency 3 GHz to 5 GHz %K integrated notch filter %K microwave filters %K MMIC %K notch filters %K out-of-band blockers %K power consumption penalty %K radio receivers %K size 0.13 mum %K ultra wideband communication %K UWB receivers %K voltage 1.5 V %B Proc. of IEEE 2007 European Solid State Circuits Conference %P 139 -142 %R 10.1109/ESSCIRC.2007.4430265 %0 Journal Article %J IEEE Transactions on Circuits and Systems I: Regular Papers %D 2007 %T An Integrated Solution for Suppressing WLAN Signals in UWB Receivers %A Bevilacqua, A. %A Maniero, A. %A Gerosa, A. %A Neviani, A. %K bandwidth 4.9 GHz to 5.825 GHz %K BiCMOS integrated circuit %K BiCMOS integrated circuits %K blocker filtering %K frequency 3.6 GHz to 7.4 GHz %K gain 22 dB to 19 dB %K gain 5.5 dB to 8 dB %K gain desensitization %K interference suppression %K intermodulation distortion %K microwave receiver %K microwave receivers %K notch filter %K notch filters %K PCB connector %K power 49.2 mW %K power consumption %K radio receivers %K SiGe - Binary %K size 0.35 micron %K ultra wideband communication %K ultrawide-band receiver %K wireless LAN %K wireless local area network transmission %K WLAN signals %B IEEE Transactions on Circuits and Systems I: Regular Papers %V 54 %P 1617 -1625 %R 10.1109/TCSI.2007.902458 %0 Conference Paper %B IEEE International Symposium on Circuits and Systems, 2007. ISCAS 2007 %D 2007 %T Quadrature VCOs Based on Coupled PLLs %A Bevilacqua, A. %A Sandner, C. %A Gerosa, A. %A Neviani, A. %K 0.13 micron %K CMOS digital integrated circuits %K coupled PLL %K digital CMOS technology %K dual-mode quadrature VCO %K phase locked loops %K quadrature signal generation %K voltage-controlled oscillators %B IEEE International Symposium on Circuits and Systems, 2007. ISCAS 2007 %P 2140 -2143 %R 10.1109/ISCAS.2007.378596 %0 Journal Article %J IEEE Transactions on Circuits and Systems II: Express Briefs %D 2007 %T Transformer-Based Dual-Mode Voltage-Controlled Oscillators %A Bevilacqua, A. %A Pavan, F.P. %A Sandner, C. %A Gerosa, A. %A Neviani, A. %K 0.13 micron %K 1 to 8 mW %K 2.5D electromagnetic simulation %K 3.6 to 7.8 GHz %K Agilent Momentum %K CMOS digital integrated circuits %K digital CMOS technology %K dual-mode voltage-controlled oscillators %K frequency tuning techniques %K microwave oscillators %K MMIC oscillators %K one-port network %K quality factor %K reconfigurable architectures %K resonators %K transformer-based resonator %K transformers %K two-port network %K VCO %K voltage-controlled oscillators %K wide-band voltage-controlled oscillator %B IEEE Transactions on Circuits and Systems II: Express Briefs %V 54 %P 293 -297 %R 10.1109/TCSII.2006.889734 %0 Conference Paper %B 13th IEEE International Conference on Electronics, Circuits and Systems, 2006. ICECS '06. %D 2006 %T A 0.35 um SiGe Low-Noise Amplifier for UWB, Receivers with Integrated Interferer Rejection %A Bevilacqua, A. %A Maniero, A. %A Gerosa, A. %A Neviani, A. %K BiCMOS integrated circuits %K BiCMOS technology %K blocker altering technique %K frequency 4.9 GHz to 5.825 GHz %K Ge-Si alloys %K integrated interferer rejection %K interference suppression %K low noise amplifiers %K low-noise amplifier %K MMIC amplifiers %K PCB connectors %K power 49.2 mW %K radio receivers %K radiofrequency interference %K size 0.35 micron %K ultra wideband communication %K ultrawideband receivers %K UWB receivers %K wireless LAN %K wireless local area network transmissions %B 13th IEEE International Conference on Electronics, Circuits and Systems, 2006. ICECS '06. %P 1015 -1018 %R 10.1109/ICECS.2006.379963 %0 Conference Paper %B Proceedings of the 32nd European Solid-State Circuits Conference %D 2006 %T A 3.4-7 GHz Transformer-Based Dual-mode Wideband VCO %A Bevilacqua, A. %A Federico P. Pavan %A Christoph Sandner %A Gerosa, A. %A Neviani, A. %K 1 MHz %K 1 mW %K 3.4 to 7 GHz %K dual-mode oscillator %K phase noise %K power consumption %K resonators %K transformer-based resonator %K transformers %K voltage-controlled oscillators %K wideband VCO %B Proceedings of the 32nd European Solid-State Circuits Conference %P 440 -443 %R 10.1109/ESSCIR.2006.307475 %0 Journal Article %J IEEE Transactions on Circuits and Systems I: Regular Papers %D 2006 %T An A/D Converter for Multimode Wireless Receivers, Based on the Cascade of a Double-Sampling Σ Δ Modulator and a Flash Converter %A Gerosa, A. %A Xotta, A. %A Bevilacqua, A. %A Neviani, A. %K 18.9 mW %K 3G mobile communication %K 4 bit %K 4.6 mW %K 5.5 mW %K 7.4 mW %K analog-to-digital converter %K analogue-digital conversion %K behavioral simulations %K Bluetooth %K cellular radio %K double-sampling SigmaDelta modulator %K flash converter %K global system for mobile communications %K internal quantizer %K multimode wireless receivers %K radio receivers %K transistor-level simulations %K universal mobile telecommunications system %K wireless LAN %K wireless local area network %B IEEE Transactions on Circuits and Systems I: Regular Papers %V 53 %P 2109 -2124 %R 10.1109/TCSI.2006.883840 %0 Conference Paper %B Global Telecommunications Conference, 2006. GLOBECOM '06. IEEE %D 2006 %T CTH08-3: Reconfigurable Analog Decoder for a Serially Concatenated Convolutional Code %A Graell i Amat, A. %A Vogrig, D. %A Benedetto, S. %A Montorsi, G. %A Neviani, A. %A Gerosa, A. %K analog iterative decoder %K analog memory %K block length %K code rate %K concatenated codes %K convolutional codes %K interleaved codes %K iterative decoding %K performance mismatch impact %K reconfigurable analog decoder %K reconfigurable interleaver %K serially concatenated convolutional code %K single-input single-output %K SISO decoder %K trellis code %K trellis codes %B Global Telecommunications Conference, 2006. GLOBECOM '06. IEEE %P 1 -6 %G eng %R 10.1109/GLOCOM.2006.77 %0 Journal Article %J Communications, IEEE Transactions on %D 2006 %T Design, Simulation, and Testing of a CMOS Analog Decoder for the Block Length-40 UMTS Turbo Code %A Graell i Amat, A. %A Benedetto, S. %A Montorsi, G. %A Vogrig, D. %A Neviani, A. %A Gerosa, A. %K 0.35 micron %K 3.3 V %K 3G mobile communication %K bit-error rate %K block length-40 UMTS turbo code %K circuit transient behavior %K CMOS analog decoder %K CMOS analogue integrated circuits %K component mismatch %K decoding %K discrete time systems %K discrete-time first-order model %K error statistics %K fast BER simulation %K integrated circuit design %K integrated circuit testing %K Third Generation Partnership Project standard %K transistor-level simulation %K turbo codes %K universal mobile telecommunications system %B Communications, IEEE Transactions on %V 54 %P 1973 -1982 %G eng %R 10.1109/TCOMM.2006.884836 %0 Book %D 2006 %T Elettronica Digitale, Esercizi risolti %A Gerosa, A. %I Edizioni Libreria Progetto %C PADOVA %P 1–216 %@ 8887331669 %0 Journal Article %J IEEE Microwave and Wireless Components Letters %D 2006 %T A fully integrated differential CMOS LNA for 3-5-GHz ultrawideband wireless receivers %A Bevilacqua, A. %A Sandner, C. %A Gerosa, A. %A Neviani, A. %K 0.13 micron %K 1 dB %K 1.5 V %K 11 mA %K 3 to 5 GHz %K 3.5 dB %K 9.5 dB %K CMOS integrated circuits %K CMOS technology %K differential amplifiers %K differential CMOS %K integrated circuit noise %K integrated differential low-power amplifier %K ladder input network %K LNA %K low noise amplifiers %K low-noise amplifier %K microwave receivers %K minimum noise figure %K MMIC amplifiers %K peak power gain %K radio receivers %K ultra wideband communication %K ultra wideband system %K ultra wideband wireless receiver %K UWB %B IEEE Microwave and Wireless Components Letters %V 16 %P 134 -136 %R 10.1109/LMWC.2006.869855 %0 Conference Paper %B Analog Decoding Workshop %D 2006 %T Iterative Analog Decoder for a SCCC %A Graell i Amat, A. %A Vogrig, D. %A Benedetto, S. %A Montorsi, G. %A SOLDA, S. %A Neviani, A. %A Gerosa, A. %B Analog Decoding Workshop %@ 88-7178-024-8 %0 Conference Paper %B 13th IEEE International Conference on Electronics, Circuits and Systems, 2006. ICECS '06. %D 2006 %T A low-voltage III-order log-domain filter in standard CMOS technology with tunable frequency %A Maniero, A. %A Bevilacqua, A. %A Gerosa, A. %A Neviani, A. %K bandwidth 14 kHz to 150 kHz %K circuit tuning %K class AB operation filter %K CMOS analogue integrated circuits %K CMOS process %K CMOS technology %K low-pass filter %K low-pass filters %K low-power electronics %K low-voltage filter %K parasitic capacitances effect %K size 0.8 mum %K third-order log-domain filter %K tunable frequency %K voltage 1.2 V %B 13th IEEE International Conference on Electronics, Circuits and Systems, 2006. ICECS '06. %P 90 -93 %R 10.1109/ICECS.2006.379708 %0 Conference Paper %B Proceedings of 2006 IEEE International Symposium on Circuits and Systems %D 2006 %T An optimal architecture for a multimode ADC, based on the cascade of a Sigma-Delta modulator and a flash converter %A Gerosa, A. %A Bevilacqua, A. %A Neviani, A. %A Xotta, A. %K 18.9 mW %K 3G mobile communication %K 4 bit %K 4.6 mW %K 5.5 mW %K 7.4 mW %K Bluetooth %K cellular radio %K flash converter %K GSM %K internal quantizer %K multimode ADC %K optimal architecture %K power consumption %K sigma-delta modulation %K sigma-delta modulator %K UMTS %K wireless LAN %K WLANa %B Proceedings of 2006 IEEE International Symposium on Circuits and Systems %P 4 pp. %R 10.1109/ISCAS.2006.1692653 %0 Journal Article %J Solid-State Circuits, IEEE Journal of %D 2005 %T A 0.35- mu;m CMOS analog turbo decoder for the 40-bit rate 1/3 UMTS channel code %A Vogrig, D. %A Gerosa, A. %A Neviani, A. %A Amat, A.Gi. %A Montorsi, G. %A Benedetto, S. %K 0.35 micron %K 10.3 mW %K 2 Mbit/s %K 2 V %K 3.3 V %K 3G mobile communication %K 40 bit %K 7.6 mW %K analog decoder %K analog iterative decoders %K channel coding %K CMOS analog turbo decoder %K CMOS analogue integrated circuits %K decoder throughput %K error correction codes %K error-correcting code %K I/O interface %K iterative decoding %K neuromorphic circuits %K translinear circuits %K turbo code %K turbo codes %K UMTS channel code %K weak inversion %B Solid-State Circuits, IEEE Journal of %V 40 %P 753 - 762 %G eng %R 10.1109/JSSC.2005.843628 %0 Conference Paper %B Research in Microelectronics and Electronics, 2005 PhD %D 2005 %T A 0.35 um CMOS analog turbo decoder for a 40 bit, rate 1/3, UMTS channel code %A Vogrig, D. %A Gerosa, A. %A Neviani, A. %A Graell i Amat, A. %A Montorsi, G. %A Benedetto, S. %K 0.35 micron %K 1.36 nJ %K 132 bit %K 2 Mbit/s %K 3G mobile communication %K 3GPP standard %K 40 bit %K 6.6 mW %K channel coding %K CMOS analog turbo decoder %K CMOS analogue integrated circuits %K concatenated codes %K parallel concatenated code %K turbo codes %K UMTS channel code %K wireless data transmission systems %B Research in Microelectronics and Electronics, 2005 PhD %V 1 %P 31 - 34 vol.1 %R 10.1109/RME.2005.1542996 %0 Journal Article %J IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS. II, EXPRESS BRIEFS %D 2005 %T A 1.8uW Sigma-Delta Modulator for 8-bit Digitization of Cardiac Signals in Implantable Pacemakers Operating Down to 1.8V %A Gerosa, A. %A Neviani, A. %B IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS. II, EXPRESS BRIEFS %V 52 %P 71–76 %0 Conference Paper %B Communications, 2005. ICC 2005. 2005 IEEE International Conference on %D 2005 %T An analog turbo decoder for the rate-1/3, 40 bit, UMTS turbo code %A Amatt, A.G.I. %A Benedetto, S. %A Montorsi, G. %A Vogrig, D. %A Neviani, A. %A Gerosa, A. %K 0.35 micron %K 10.3 mW %K 2 Mbit/s %K 3.3 V %K 3G mobile communication %K 40 bit %K analog turbo decoder %K BER curve %K circuit simulation %K circuit transient behavior %K circuit-level simulations %K CMOS analogue integrated circuits %K CMOS turbo decoder %K device mismatch %K discrete-time model %K error statistics %K iterative decoding %K rate-1/3 turbo code %K turbo codes %K UMTS turbo code %B Communications, 2005. ICC 2005. 2005 IEEE International Conference on %V 1 %P 663 - 667 Vol. 1 %G eng %R 10.1109/ICC.2005.1494434 %0 Conference Paper %B ISCAS %D 2005 %T A Multi-Mode Sigma-Delta Analog-to-Digital Converter for GSM, UMTS and WLAN %A Xotta, A. %A Gerosa, A. %A Neviani, A. %B ISCAS %8 05/2005 %0 Journal Article %J IEEE Transactions on Circuits and Systems II: Express Briefs %D 2005 %T Statistical analysis of second-order intermodulation distortion in WCDMA direct conversion receivers %A Bevilacqua, A. %A Svelto, F. %K 0.18 micron %K accurate modeling %K CMOS DCR %K code division multi-access %K code division multiple access %K code multiplexed channels %K direct conversion receivers %K homodyne detection %K intermodulation distortion %K multichannel signal %K nonlinear spectral analysis %K receivers %K second-order intermodulation distortion %K single-channel signal %K spectral analysis %K statistical analysis %K stochastic processes %K telecommunication standards %K universal mobile telecommunications system standard %K WCDMA %K wideband code division multiple access systems %B IEEE Transactions on Circuits and Systems II: Express Briefs %V 52 %P 117 - 121 %R 10.1109/TCSII.2004.842044 %0 Conference Paper %B Information Theory, 2004. ISIT 2004. Proceedings. International Symposium on %D 2004 %T An analog turbo decoder for the UMTS standard %A Graell i Amat, A. %A Montorsi, G. %A Benedetto, S. %A Vogrig, D. %A Neviani, A. %A Gerosa, A. %K 0.35 micron %K 3G mobile communication %K CMOS analog turbo decoder %K CMOS analogue integrated circuits %K discrete time systems %K discrete-time model %K telecommunication standards %K turbo codes %K UMTS standard %K universal mobile telecommunication system %B Information Theory, 2004. ISIT 2004. Proceedings. International Symposium on %P 296 %R 10.1109/ISIT.2004.1365329 %0 Book %D 2004 %T Elettronica Digitale, Esercizi Risolti %A Gerosa, A. %I Libreria Progetto %C PADOVA – ITA %P 1–161 %@ 88-873311-66-9 %0 Journal Article %J IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS. I, REGULAR PAPERS %D 2004 %T A Fully-Integrated Dual-Channel Log-Domain Programmable Preamplifier and Filter for an Implantable Cardiac Pacemakers %A Gerosa, A. %A Maniero, A. %A Neviani, A. %B IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS. I, REGULAR PAPERS %V 51 %P 1916–1925 %0 Journal Article %J IEEE JOURNAL OF SOLID-STATE CIRCUITS %D 2004 %T A Fully-Integrated Two-Channel A/D Interface for the Acquisition of Cardiac Signals in Implantable Pacemakers %A Gerosa, A. %A Neviani, A. %A Maniero, A. %B IEEE JOURNAL OF SOLID-STATE CIRCUITS %V 39 %P 1083–1093 %R http://dx.doi.org/10.1109/JSSC.2004.829921 %0 Conference Paper %B ISCAS %D 2004 %T A LOW-POWER DECIMATION FILTER FOR A SIGMA-DELTA CONVERTER BASED ON A POWER-OPTMIZED SINC FILTER %A Gerosa, A. %A Neviani, A. %B ISCAS %V 2 %P 245–248 %8 05/2004 %0 Conference Paper %B WowCas %D 2004 %T A Programmable-Order Sigma-Delta Converter for a Multi-Standard Wireless Receiver %A Xotta, A. %A Gerosa, A. %A Neviani, A. %B WowCas %C Vancouver B.C., Canada %V 1 %P 33–34 %8 05/2004 %0 Conference Paper %B Digest of Technical Papers of 2004 IEEE International Solid-State Circuits Conference %D 2004 %T An ultra-wideband CMOS LNA for 3.1 to 10.6 GHz wireless receivers %A Bevilacqua, A. %A Niknejad, A.M. %K 0.18 micron %K 3.1 to 10.6 GHz %K 4 dB %K 9 mW %K 9.3 dB %K band-pass filters %K Chebyshev filters %K CMOS analogue integrated circuits %K CMOS process %K field effect MMIC %K IC power gain %K IIP3 %K input match %K input three-section band-pass Chebyshev filter %K integrated circuit measurement %K MMIC amplifiers %K NF %K radio receivers %K ultra-wideband CMOS LNA %K UWB LNA %K wideband amplifiers %K wireless receivers %B Digest of Technical Papers of 2004 IEEE International Solid-State Circuits Conference %P 382 - 533 Vol.1 %R 10.1109/ISSCC.2004.1332754 %0 Journal Article %J IEEE Journal of Solid-State Circuits %D 2004 %T An Ultrawideband CMOS Low-Noise Amplifier for 3.1–10.6-GHz Wireless Receivers %A Bevilacqua, A. %A Niknejad, Ali M. %B IEEE Journal of Solid-State Circuits %V 39 %P 2259–2268 %0 Conference Paper %B ISCAS %D 2003 %T Analog CMOS Implementation of Gallager’s Interative Decoding algorithm applied to a Block Turbo Code %A PERENZONI, M. %A Gerosa, A. %A Neviani, A. %B ISCAS %V 5 %P 813–816 %8 03/2003 %0 Journal Article %J ELECTRONICS LETTERS %D 2003 %T Enhancing Output Voltage Swing in Low-Voltage Micro-Power OTA Using Self-Cascode %A Gerosa, A. %A Neviani, A. %B ELECTRONICS LETTERS %V 39 %P 638–639 %0 Journal Article %J IEE PROCEEDINGS. CIRCUITS, DEVICES AND SYSTEMS %D 2003 %T Frequency Offset Compensation in Fractionally Spaced Equalization %A Gerosa, A. %A Xotta, A. %A Neviani, A. %A Mian, G.A. %B IEE PROCEEDINGS. CIRCUITS, DEVICES AND SYSTEMS %V 150 %P 134–140 %0 Conference Paper %B ESSCIRC %D 2003 %T A Fully-Integrated Two-Channel A/D Interface for the Acquisition of Cardiac Signals in Implantable Pacemakers %A Gerosa, A. %A Maniero, A. %A Neviani, A. %B ESSCIRC %V 1 %P 157–160 %8 09/2003 %0 Conference Paper %B ISCAS %D 2003 %T Performance Optimization in Micro-Power, Low-Voltage, Log-Domain Filters in Pure CMOS Technology %A Maniero, A. %A Gerosa, A. %A Neviani, A. %B ISCAS %V 1 %P 565–568 %8 03/2003 %0 Conference Paper %B ISCAS %D 2003 %T A Very Low-Power 8-bit Sigma-Delta Converter in a 0.8um CMOS Technology for the Sensing Chain of a Cardiac Pacemaker, Operating down to 1.8V %A Gerosa, A. %A Neviani, A. %B ISCAS %V 5 %P 49–52 %8 03/2003 %0 Conference Paper %B Circuits and Systems, 2002. ISCAS 2002. IEEE International Symposium on %D 2002 %T An all-analog CMOS implementation of a turbo decoder for hard-disk drive read channels %A Xotta, A. %A Vogrig, D. %A Gerosa, A. %A Neviani, A. %A Graell i Amat, A. %A Montorsi, G. %A Bruccoleri, M. %A Betti, G. %K 0.18 micron %K 1.8 V %K 400 Mbit/s %K 650 mW %K all-analog CMOS implementation %K analogue processing circuits %K CMOS analogue integrated circuits %K coding gain %K current-mode approach %K current-mode circuits %K decoding %K disc drives %K EPR-IV read channels %K hard discs %K hard-disk drive read channels %K power efficiency %K sum-product algorithms %K total simulated power consumption %K turbo codes %K turbo decoder %B Circuits and Systems, 2002. ISCAS 2002. IEEE International Symposium on %V 5 %P V-69 - V-72 vol.5 %G eng %R 10.1109/ISCAS.2002.1010642 %0 Journal Article %J IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I. FUNDAMENTAL THEORY AND APPLICATIONS %D 2002 %T A Fully Integrated Chaotic System for the Generation of Truly Random Numbers %A Gerosa, A. %A Bernardini, R. %A Pietri, S. %B IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I. FUNDAMENTAL THEORY AND APPLICATIONS %V 49 %P 993–1000 %0 Conference Paper %B IEEE 2002 Radio and Wireless Conference %D 2002 %T Non-linear spectral analysis of direct conversion wireless receivers %A Bevilacqua, A. %A Svelto, F. %K 3G mobile communication %K BER %K bit error rate %K direct conversion wireless receivers %K error statistics %K Gaussian processes %K Gaussian stochastic processes %K interferer magnitude fluctuations %K interferer spectra %K intermodulation distortion %K intermodulation distortion power %K mobile radio %K nonlinear spectral analysis %K radio receivers %K spectral analysis %K UMTS %K universal mobile telecommunications system %B IEEE 2002 Radio and Wireless Conference %P 39 - 42 %R 10.1109/RAWCON.2002.1030112 %0 Conference Paper %B Mixed-Signal Design, 2001. SSMSD. 2001 Southwest Symposium on %D 2001 %T An analog front-end for the acquisition of biomedical signals, fully integrated in a 0.8 um CMOS process %A Gerosa, A. %A Novo, A. %A Neviani, A. %K 0.8 micron %K analog front-end %K analogue processing circuits %K band-pass filtering %K biomedical signal %K biquadratic filters %K cardiac pacemaker %K CMOS analogue integrated circuits %K CMOS process %K log-domain filter %K low-noise pre-amplifier %K low-power design %K low-power electronics %K pacemakers %K peak detection %K preamplifiers %K SC biquadratic cell %K sensing chain %K sigma-delta converter %K sigma-delta modulation %K switched capacitor filters %B Mixed-Signal Design, 2001. SSMSD. 2001 Southwest Symposium on %P 152 -157 %R 10.1109/SSMSD.2001.914956 %0 Journal Article %J Electronics Letters %D 2001 %T CMOS implementation of all-analogue APP decoders: analysis of performances and limitations %A Xotta, A. %A Gerosa, A. %A Neviani, A. %K a posteriori-probability decoding algorithm %K analogue processing circuits %K CMOS all-analogue APP decoder %K CMOS analogue integrated circuits %K decoding %K MOS transistor %K tail-biting decoder %K weak inversion region %B Electronics Letters %V 37 %P 1501 -1503 %R 10.1049/el:20011025 %0 Generic %D 2001 %T Design of Power-Optimized OTAs for SC Applications %A Gerosa, A. %U http://www.eetimes.com/design/analog-design/4018037/Design-of-Power-Optimized-OTAs-for-SC-Applications %0 Conference Paper %B Mixed-Signal Design, 2001. SSMSD. 2001 Southwest Symposium on %D 2001 %T A fully integrated 8-bit, 20 MHz, truly random numbers generator, based on a chaotic system %A Gerosa, A. %A Bernardini, R. %A Pietri, S. %K 0.8 micron %K 20 MHz %K 50 mW %K 8 bit %K analogue-digital conversion %K ASIC %K chaos generators %K chaotic system %K circuit nonidealities %K CMOS integrated circuits %K CMOS process %K compact architecture %K fully integrated implementation %K mixed analogue-digital integrated circuits %K pipeline ADC %K pipeline processing %K post-layout simulations %K random number generation %K random numbers generator %K statistical independence %K uniform distribution %B Mixed-Signal Design, 2001. SSMSD. 2001 Southwest Symposium on %P 87 -92 %R 10.1109/SSMSD.2001.914944 %0 Conference Paper %B Circuits and Systems, 2001. ISCAS 2001. The 2001 IEEE International Symposium on %D 2001 %T A micro-power low noise log-domain amplifier for the sensing chain of a cardiac pacemaker %A Gerosa, A. %A Novo, A. %A Mengalli, A. %A Neviani, A. %K 0.8 micron %K 2.8 muW %K 50 to 70 dB %K active filters %K cardiac pacemaker %K cardiac signal %K class AB log-domain 2nd order band-pass filter %K CMOS %K CMOS analogue integrated circuits %K feedback amplifiers %K input-referred noise %K integrated circuit noise %K low-power electronics %K micro-power low noise log-domain amplifier %K pacemakers %K programmable gain %K sensing chain %K weak inversion %B Circuits and Systems, 2001. ISCAS 2001. The 2001 IEEE International Symposium on %V 1 %P 296 -299 vol. 1 %R 10.1109/ISCAS.2001.921851 %0 Conference Paper %B Electronics, Circuits and Systems, 2001. ICECS 2001. The 8th IEEE International Conference on %D 2001 %T A novel architecture to reduce complexity in hard disk read channel based on fractionally spaced equalization %A Gerosa, A. %A Neviani, A. %A Xotta, A. %A Mian, G.A. %K 0.35 micron %K 0.35 mu %K 300 MHz %K 700 mW %K analogue integrated circuits %K anti-alias filter %K bandwidth tunability %K bit error rate %K circuit bandwidth %K CMOS memory circuits %K CMOS technology %K data rate %K digital simulation %K disc drives %K equalisers %K equalizer %K fractionally spaced equalization %K frequency errors %K hard discs %K hard disk drives %K m %K MATLAB %K memory architecture %K power consumption %K pulse shaping circuits %K pulse shaping precision %K sampled data circuits %K sampling phase %K storage density %K variable delay filter %B Electronics, Circuits and Systems, 2001. ICECS 2001. The 8th IEEE International Conference on %V 2 %P 1099 -1102 vol.2 %R 10.1109/ICECS.2001.957696 %0 Conference Paper %B Electronics, Circuits and Systems, 2001. ICECS 2001. The 8th IEEE International Conference on %D 2001 %T A ready-to-use design procedure for operational transconductance amplifiers that minimizes power consumption %A Gerosa, A. %K bias current %K circuit optimisation %K design equations %K design procedure %K electric current %K folded-cascode amplifier %K minimized power consumption %K network synthesis %K operational amplifiers %K operational transconductance amplifiers %K OTA design procedure %K OTA performance %K power optimized OTA %K switched capacitor networks %K switched capacitor stage %K transistor size %B Electronics, Circuits and Systems, 2001. ICECS 2001. The 8th IEEE International Conference on %V 2 %P 949 -952 vol.2 %R 10.1109/ICECS.2001.957630 %0 Conference Paper %B ECCTD %D 2001 %T A Simplified Analysis of Noise in Switched Capacitor Networks from a Circuit Design Perspective %A Gerosa, A. %A RUBIN, R. %A Neviani, A. %B ECCTD %V 1 %P 261–264 %8 August %0 Journal Article %J ANALOG INTEGRATED CIRCUITS AND SIGNAL PROCESSING %D 2001 %T A Sub-Micron CMOS Programmable Charge Pump for Implantable Pacemaker %A Novo, A. %A Gerosa, A. %A Neviani, A. %B ANALOG INTEGRATED CIRCUITS AND SIGNAL PROCESSING %V 27 %P 211–217 %0 Conference Paper %B Devices, Circuits and Systems, 2000. Proceedings of the 2000 Third IEEE International Caracas Conference on %D 2000 %T A CMOS 0.8 um programmable charge pump for the output stage of an implantable pacemaker %A Novo, A. %A Gerosa, A. %A Neviani, A. %A Mozzi, A. %A Zanoni, E. %K 0.8 micron %K 2 to 2.8 V %K battery longevity %K CMOS integrated circuits %K CMOS technology %K implantable pacemaker %K mixed analogue-digital integrated circuits %K output stage %K output voltage programmability %K pacemakers %K parasitic coupling %K programmable charge pump %K pulse generator %K pulse generators %K stimulation efficacy %K supply voltage %K voltage multiplier %K voltage multipliers %B Devices, Circuits and Systems, 2000. Proceedings of the 2000 Third IEEE International Caracas Conference on %P 34/1 -34/5 %R 10.1109/ICCDCS.2000.869804 %0 Conference Paper %B Low Power Electronics and Design, 2000. ISLPED '00. Proceedings of the 2000 International Symposium on %D 2000 %T Low-power sensing and digitization of cardiac signals based on sigma-delta conversion %A Gerosa, A. %A Novo, A. %A Neviani, A. %K 0.8 micron %K 2 muW %K 2 V %K 50 to 150 Hz %K 8 kHz %K cardiac signals %K CMOS integrated circuits %K CMOS technology %K digitization %K dynamic range %K low-power circuits %K low-power electronics %K low-power sensing %K medical signal processing %K oversampled frequency %K oversampling conversion techniques %K pacemaker %K pacemakers %K power dissipation %K sigma-delta conversion %K sigma-delta modulation %K signal sampling %K switched networks %K switched op-amp technique %K third order modulator %B Low Power Electronics and Design, 2000. ISLPED '00. Proceedings of the 2000 International Symposium on %P 216 - 218 %R 10.1109/LPE.2000.155282 %0 Journal Article %J Circuits and Systems II: Analog and Digital Signal Processing, IEEE Transactions on %D 1999 %T 2D video rate SC FIR filters based on analog RAMs %A Gerosa, A. %A Cortelazzo, G.M. %A Baschirotto, A. %A Malavasi, E. %K 2D video rate SC FIR filters %K analog RAMs %K analog random-access memories %K analogue storage %K area requirements %K broadband characteristic %K CMOS analogue integrated circuits %K delay lines %K FIR filters %K impulse-response symmetries %K linear phase filters %K linear-phase FIR fitters %K low-pass filter %K low-pass filters %K monolithic CMOS analog implementations %K picture-in-picture resizing %K power requirements %K precision requirements %K random-access storage %K switched capacitor filters %K switched-capacitor circuits %K video signal processing %B Circuits and Systems II: Analog and Digital Signal Processing, IEEE Transactions on %V 46 %P 1348 -1360 %R 10.1109/82.803474 %0 Conference Paper %B Electronics, Circuits and Systems, 1999. Proceedings of ICECS '99. The 6th IEEE International Conference on %D 1999 %T A low complexity EPR-IV equalizer for hard disk read channels %A Gerosa, A. %A Mian, G.A. %K 0.35 mum %K ADC accuracy %K analogue-digital conversion %K area consumption %K BRE %K circuit design %K circuit simulation %K CMOS integrated circuits %K complexity %K digital post-processing %K equalisers %K equalization performance %K FIR filters %K fractionally spaced equalization %K hard discs %K hard disk read channels %K low complexity EPR-IV equalizer %K memory architecture %K network synthesis %K power consumption %K sampled data %K sampled data circuits %K standard CMOS %K transistor level simulation %B Electronics, Circuits and Systems, 1999. Proceedings of ICECS '99. The 6th IEEE International Conference on %V 2 %P 1069 -1072 vol.2 %R 10.1109/ICECS.1999.813418 %0 Conference Paper %B Mixed-Signal Design, 1999. SSMSD '99. 1999 Southwest Symposium on %D 1999 %T A partial accumulation analog-RAM-based architecture for delay efficient realization of 2D SC FIR filters %A Gerosa, A. %A Neviani, A. %A Cortelazzo, G.M. %K 0.8 micron %K 2D SC FIR filters %K analogue storage %K CMOS analogue integrated circuits %K CMOS technology %K convolution %K delay efficient realization %K delays %K filter impulse response symmetry %K filter phase linearity %K FIR filters %K inner filter delays %K partial accumulation analog-RAM-based architecture %K partial convolution products %K picture-in-picture applications %K random-access storage %K switched capacitor filters %K transient response %K video applications %K video signal processing %B Mixed-Signal Design, 1999. SSMSD '99. 1999 Southwest Symposium on %P 195 -198 %R 10.1109/SSMSD.1999.768617 %0 Journal Article %J Electronics Letters %D 1999 %T Programmable voltage multiplier for pacemaker output pulse generation %A Novo, A. %A Gerosa, A. %A Neviani, A. %A Mozzi, A. %A Zanoni, E. %K circuit topology %K CMOS analogue integrated circuits %K CMOS IC %K heart stimulation %K pacemaker battery operation %K pacemaker output pulse generation %K pacemakers %K programmable voltage multiplier %K pulse generators %K voltage multipliers %B Electronics Letters %V 35 %P 560 -561 %R 10.1049/el:19990369 %0 Conference Paper %B Solid-State Circuits Conference, 1999. ESSCIRC '99. Proceedings of the 25th European %D 1999 %T Programmable voltage multipliers for pacemaker output pulse generation in CMOS 0.8 um technology %A Novo, A. %A Gerosa, A. %A Neviani, A. %A Zanoni, E. %A Mozzi, A. %B Solid-State Circuits Conference, 1999. ESSCIRC '99. Proceedings of the 25th European %P 386 - 389 %R 10.1109/ESSCIR.1999.186420 %0 Conference Paper %B ECCTD %D 1999 %T A SC Video Filter with Analog-RAM-based Delay Efficient Realization %A Gerosa, A. %A Neviani, A. %A Zanoni, E. %B ECCTD %V 2 %P 1247–1250 %8 September %0 Journal Article %J MICROELECTRONICS RELIABILITY %D 1999 %T Test structures and testing methods for electrostatic discharge: results of PROPHECY project %A MENEGHESSO, G. %A Zanoni, E. %A Gerosa, A. %A PAVAN, P. %A STADLER, W. %A ESMARK, K. %A GUGGENMOS, G. %B MICROELECTRONICS RELIABILITY %V 39 %P 635–646 %0 Conference Paper %B EUSIPCO-98 %D 1998 %T An Equalizer for Hard Disk Drive Channels, with Low Sensitivity to Sampling Phase Variation %A Gerosa, A. %A Mian, G.A. %B EUSIPCO-98 %V 1 %P 483–486 %8 September %0 Conference Paper %B EUSIPCO-96 %D 1996 %T A New Structure for Video-Rate 2D SC FIR Filters %A Cortelazzo, G.M. %A Malavasi, E. %A Gerosa, A. %A Neviani, A. %B EUSIPCO-96 %V 2 %P 1307–1310 %8 September